OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_synfull/] [dpi_int_pkg.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 1... Line 1...
import pronoc_pkg::*;
`include "pronoc_def.v"
 
 
 
 
 
 
package dpi_int_pkg;
package dpi_int_pkg;
 
 
 
parameter NOC_ID=0;
 
 
 
`NOC_CONF
 
 
 typedef struct packed {
 typedef struct packed {
     logic [pronoc_pkg::NEw-1 : 0] dest  ;
     logic [NEw-1 : 0] dest  ;
     logic [pronoc_pkg::PCK_SIZw-1 : 0] size  ;
     logic [PCK_SIZw-1 : 0] size  ;
     logic [pronoc_pkg::NEw-1 : 0] src   ;
     logic [NEw-1 : 0] src   ;
     logic [31:0]      id    ;
     logic [31:0]      id    ;
     logic             valid ;
     logic             valid ;
 } req_t;
 } req_t;
 
 
 typedef struct packed {
 typedef struct packed {

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.