OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_synfull/] [dpi_interface.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 1... Line 1...
 
 
import pronoc_pkg::*;
`include "pronoc_def.v"
 
parameter NOC_ID=0;
 
`NOC_CONF
import dpi_int_pkg::*;
import dpi_int_pkg::*;
 
 
 
 
localparam NE = 4*4*2 ;
 
 
 
module top_dpi_interface (
module top_dpi_interface (
    input   logic               clk_i, rst_i  ,
    input   logic               clk_i, rst_i  ,
    input   logic               init_i                          ,
    input   logic               init_i                          ,
    input   logic               startCom_i                      ,
    input   logic               startCom_i                      ,
    input   logic     [NE-1:0]  NE_ready_all_i                  ,
    input   logic     [NE-1:0]  NE_ready_all_i                  ,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.