OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_synfull/] [synfull_top.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 1... Line 1...
// synthesis translate_off
// synthesis translate_off
`timescale   1ns/1ns
`include "pronoc_def.v"
 
 
 
 
module synfull_top;
module synfull_top;
 
    parameter NOC_ID=0;
    import pronoc_pkg::*;
    `NOC_CONF
    import dpi_int_pkg::*;
    import dpi_int_pkg::*;
 
 
    reg     reset ,clk;
    reg     reset ,clk;
    reg print_router_st;
    reg print_router_st;
 
 
Line 31... Line 31...
    logic            end_synfull     ;
    logic            end_synfull     ;
 
 
    req_t     [NE-1 : 0] synfull_pronoc_req_all  ;
    req_t     [NE-1 : 0] synfull_pronoc_req_all  ;
    deliver_t [NE-1 : 0] pronoc_synfull_del_all  ;
    deliver_t [NE-1 : 0] pronoc_synfull_del_all  ;
 
 
    noc_top     the_noc
    noc_top #(
    (
                .NOC_ID(NOC_ID)
 
        ) the_noc (
        .reset(reset),
        .reset(reset),
        .clk(clk),
        .clk(clk),
        .chan_in_all(chan_in_all),
        .chan_in_all(chan_in_all),
        .chan_out_all(chan_out_all),
        .chan_out_all(chan_out_all),
        .router_event(router_event)
        .router_event(router_event)
Line 141... Line 142...
        assign pck_injct_in[i].vc = _pck_injct_in[i].vc;
        assign pck_injct_in[i].vc = _pck_injct_in[i].vc;
 
 
 
 
        endp_addr_encoder #( .TOPOLOGY(TOPOLOGY), .T1(T1), .T2(T2), .T3(T3), .EAw(EAw),  .NE(NE)) encode1 ( .id(i[NEw-1 :0]), .code(current_e_addr[i]));
        endp_addr_encoder #( .TOPOLOGY(TOPOLOGY), .T1(T1), .T2(T2), .T3(T3), .EAw(EAw),  .NE(NE)) encode1 ( .id(i[NEw-1 :0]), .code(current_e_addr[i]));
 
 
        packet_injector pck_inj(
        packet_injector #(
 
                        .NOC_ID(NOC_ID)
 
                ) pck_inj(
            //general
            //general
            .current_e_addr(current_e_addr[i]),
            .current_e_addr(current_e_addr[i]),
            .reset(reset),
            .reset(reset),
            .clk(clk),
            .clk(clk),
            //noc port
            //noc port
Line 164... Line 167...
 
 
 
 
 
 
 
 
        initial begin
        initial begin
 
`ifdef ACTIVE_LOW_RESET_MODE
 
        reset = 1'b0;
 
 `else
            reset = 1'b1;
            reset = 1'b1;
 
`endif
            k=0;
            k=0;
            init_socket[i] = 1'b0;
            init_socket[i] = 1'b0;
            wakeup_synfull[i] = 1'b0;
            wakeup_synfull[i] = 1'b0;
            print_router_st=1'b0;
            print_router_st=1'b0;
 
 
Line 176... Line 183...
            _pck_injct_in[i].class_num=0;
            _pck_injct_in[i].class_num=0;
            _pck_injct_in[i].init_weight=1;
            _pck_injct_in[i].init_weight=1;
            _pck_injct_in[i].vc=1;
            _pck_injct_in[i].vc=1;
            #100
            #100
            @(posedge clk) #1;
            @(posedge clk) #1;
            reset=1'b0;
            reset=~reset;
            #100
            #100
            init_socket[i] = 1'b1;
            init_socket[i] = 1'b1;
            @(posedge clk) #1;
            @(posedge clk) #1;
            init_socket[i] = 1'b0;
            init_socket[i] = 1'b0;
            #100
            #100
Line 216... Line 223...
    endgenerate
    endgenerate
 
 
    integer k;
    integer k;
 
 
    always @(posedge clk) begin
    always @(posedge clk) begin
        if(reset) begin
        if(`pronoc_reset) begin
                clk_count =0;
                clk_count =0;
                total_sent_pck_count =0;
                total_sent_pck_count =0;
                total_sent_flit_count=0;
                total_sent_flit_count=0;
                total_rsv_pck_count  =0;
                total_rsv_pck_count  =0;
                total_rsv_flit_count =0;
                total_rsv_flit_count =0;
Line 243... Line 250...
            end
            end
 
 
    end
    end
 
 
 
 
    routers_statistic_collector router_stat(
    routers_statistic_collector # (
 
        .NOC_ID(NOC_ID)
 
    ) router_stat (
                .reset(reset),
                .reset(reset),
                .clk(clk),
                .clk(clk),
                .router_event(router_event),
                .router_event(router_event),
                .print(print_router_st)
                .print(print_router_st)
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.