OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [common/] [custom_noc_top.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 1... Line 1...
`include "pronoc_def.v"
`include "pronoc_def.v"
 
 
module   custom_noc_top
module   custom_noc_top
        import pronoc_pkg::*;
        #(
        (
                parameter NOC_ID=0
 
        )(
 
 
    reset,
    reset,
    clk,
    clk,
    chan_in_all,
    chan_in_all,
    chan_out_all,
    chan_out_all,
    router_event
    router_event
);
);
 
 
 
    `NOC_CONF
 
 
        input   clk,reset;
        input   clk,reset;
        //local ports
        //local ports
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
Line 29... Line 31...
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
        //do not modify this line ===custom1===
        //do not modify this line ===custom1===
    if(TOPOLOGY == "custom1" ) begin : Tcustom1
    if(TOPOLOGY == "custom1" ) begin : Tcustom1
 
 
                custom1_noc_genvar the_noc
                custom1_noc_genvar #(
                (
                        .NOC_ID(NOC_ID)
 
                ) the_noc (
                    .reset(reset),
                    .reset(reset),
                    .clk(clk),
                    .clk(clk),
                    .chan_in_all(chan_in_all),
                    .chan_in_all(chan_in_all),
                    .chan_out_all(chan_out_all),
                    .chan_out_all(chan_out_all),
                    .router_event(router_event)
                    .router_event(router_event)
Line 48... Line 54...
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.