OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [custom1/] [custom1_noc_genvar.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 4... Line 4...
**      OVERWRITTEN AND LOST. Rename this file if you wish to do any modification.
**      OVERWRITTEN AND LOST. Rename this file if you wish to do any modification.
****************************************************************************/
****************************************************************************/
 
 
 
 
/**********************************************************************
/**********************************************************************
**      File: /home/alireza/work/git/hca_git/ProNoC/mpsoc/rtl/src_topolgy/custom1/custom1_noc_genvar.sv
**      File: /home/alireza/work/git/pronoc/mpsoc/rtl/src_topolgy/custom1/custom1_noc_genvar.sv
**
**
**      Copyright (C) 2014-2021  Alireza Monemi
**      Copyright (C) 2014-2021  Alireza Monemi
**
**
**      This file is part of ProNoC 2.0.0
**      This file is part of ProNoC 2.1.0
**
**
**      ProNoC ( stands for Prototype Network-on-chip)  is free software:
**      ProNoC ( stands for Prototype Network-on-chip)  is free software:
**      you can redistribute it and/or modify it under the terms of the GNU
**      you can redistribute it and/or modify it under the terms of the GNU
**      Lesser General Public License as published by the Free Software Foundation,
**      Lesser General Public License as published by the Free Software Foundation,
**      either version 2 of the License, or (at your option) any later version.
**      either version 2 of the License, or (at your option) any later version.
Line 27... Line 27...
******************************************************************************/
******************************************************************************/
 
 
`include "pronoc_def.v"
`include "pronoc_def.v"
 
 
module   custom1_noc_genvar
module   custom1_noc_genvar
   import pronoc_pkg::*;
#(
        (
        parameter NOC_ID=0
 
)(
 
 
    reset,
    reset,
    clk,
    clk,
    chan_in_all,
    chan_in_all,
    chan_out_all,
    chan_out_all,
    router_event
    router_event
);
);
 
 
         function integer log2;
`NOC_CONF
      input integer number; begin
 
         log2=(number <=1) ? 1: 0;
 
         while(2**log2
 
            log2=log2+1;
 
         end
 
      end
 
    endfunction // log2
 
 
 
        localparam
 
                NE = 16,
 
                NR = 16,
 
                RAw=log2(NR),
 
                MAX_P=5;
 
 
 
 
 
 
 
        input  reset;
        input  reset;
        input  clk;
        input  clk;
        input  smartflit_chanel_t chan_in_all  [NE-1 : 0];
        input  smartflit_chanel_t chan_in_all  [NE-1 : 0];
Line 85... Line 72...
        for( i=0; i<4; i=i+1) begin : router_3_port_lp
        for( i=0; i<4; i=i+1) begin : router_3_port_lp
        localparam RID = i;
        localparam RID = i;
        assign current_r_addr [RID] = RID[RAw-1: 0];
        assign current_r_addr [RID] = RID[RAw-1: 0];
 
 
        router_top #(
        router_top #(
 
                .NOC_ID(NOC_ID),
                .P(3)
                .P(3)
        )
        )
        router_3_port
        router_3_port
        (
        (
                .clk(clk),
                .clk(clk),
Line 107... Line 95...
        for( i=0; i<8; i=i+1) begin : router_4_port_lp
        for( i=0; i<8; i=i+1) begin : router_4_port_lp
        localparam RID = i+4;
        localparam RID = i+4;
        assign current_r_addr [RID] = RID[RAw-1: 0];
        assign current_r_addr [RID] = RID[RAw-1: 0];
 
 
        router_top #(
        router_top #(
 
                .NOC_ID(NOC_ID),
                .P(4)
                .P(4)
        )
        )
        router_4_port
        router_4_port
        (
        (
                .clk(clk),
                .clk(clk),
Line 129... Line 118...
        for( i=0; i<4; i=i+1) begin : router_5_port_lp
        for( i=0; i<4; i=i+1) begin : router_5_port_lp
        localparam RID = i+12;
        localparam RID = i+12;
        assign current_r_addr [RID] = RID[RAw-1: 0];
        assign current_r_addr [RID] = RID[RAw-1: 0];
 
 
        router_top #(
        router_top #(
 
                .NOC_ID(NOC_ID),
                .P(5)
                .P(5)
        )
        )
        router_5_port
        router_5_port
        (
        (
                .clk(clk),
                .clk(clk),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.