OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 24 and 39

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 39
Line 64... Line 64...
        signal i_reset:std_ulogic:='0';
        signal i_reset:std_ulogic:='0';
        signal porCnt:unsigned(3 downto 0);
        signal porCnt:unsigned(3 downto 0);
 
 
        /* Global counters. */
        /* Global counters. */
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        signal symbolsPerTransfer:i_transactor.t_cnt;
        signal symbolsPerTransfer:i_transactor.t_cnt;                   --TODO deprecate.
        signal outstandingTransactions:i_transactor.t_cnt;
        signal outstandingTransactions:i_transactor.t_cnt;              --TODO deprecate.
 
        signal lastTransaction:boolean;
 
 
        /* BFM signalling. */
        /* BFM signalling. */
        signal readRequest,writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
        signal readRequest,writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
        signal readResponse,writeResponse:i_transactor.t_bfm;
        signal readResponse,writeResponse:i_transactor.t_bfm;
 
 
Line 95... Line 96...
                        readRequest=>readRequest,       writeRequest=>writeRequest,
                        readRequest=>readRequest,       writeRequest=>writeRequest,
                        readResponse=>readResponse,     writeResponse=>writeResponse,
                        readResponse=>readResponse,     writeResponse=>writeResponse,
                        axiMaster_in=>axiMaster_in,
                        axiMaster_in=>axiMaster_in,
                        axiMaster_out=>axiMaster_out,
                        axiMaster_out=>axiMaster_out,
 
 
                        symbolsPerTransfer=>symbolsPerTransfer,
                        lastTransaction=>lastTransaction,
                        outstandingTransactions=>outstandingTransactions,
 
                        dbg_axiTxFSM=>dbg_axiTxFSM
                        dbg_axiTxFSM=>dbg_axiTxFSM
        );
        );
 
 
        /* Clocks and reset. */
        /* Clocks and reset. */
        /* Power-on Reset circuitry. */
        /* Power-on Reset circuitry. */
Line 131... Line 131...
                axiMaster_in=>axiMaster_in,
                axiMaster_in=>axiMaster_in,
                axiMaster_out=>axiMaster_out,
                axiMaster_out=>axiMaster_out,
                readRequest=>readRequest, writeRequest=>writeRequest,
                readRequest=>readRequest, writeRequest=>writeRequest,
                readResponse=>readResponse, writeResponse=>writeResponse,
                readResponse=>readResponse, writeResponse=>writeResponse,
                irq_write=>irq_write,
                irq_write=>irq_write,
                symbolsPerTransfer=>symbolsPerTransfer,
                lastTransaction=>lastTransaction,
                outstandingTransactions=>outstandingTransactions,
 
                selTxn=>selTxn
                selTxn=>selTxn
        );
        );
end architecture rtl;
end architecture rtl;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.