OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 39 and 42

Show entire file | Details | Blame | View Log

Rev 39 Rev 42
Line 64... Line 64...
        signal i_reset:std_ulogic:='0';
        signal i_reset:std_ulogic:='0';
        signal porCnt:unsigned(3 downto 0);
        signal porCnt:unsigned(3 downto 0);
 
 
        /* Global counters. */
        /* Global counters. */
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        constant maxSymbols:positive:=2048;             --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width. 
        signal symbolsPerTransfer:i_transactor.t_cnt;                   --TODO deprecate.
 
        signal outstandingTransactions:i_transactor.t_cnt;              --TODO deprecate.
 
        signal lastTransaction:boolean;
        signal lastTransaction:boolean;
 
 
        /* BFM signalling. */
        /* BFM signalling. */
        signal readRequest,writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
        signal readRequest,writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
        signal readResponse,writeResponse:i_transactor.t_bfm;
        signal readResponse,writeResponse:i_transactor.t_bfm;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.