OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [tester/] [tester.vhdl] - Diff between revs 38 and 40

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 38 Rev 40
Line 297... Line 297...
 
 
                variable isPktError:boolean;
                variable isPktError:boolean;
 
 
        begin
        begin
                /* Asynchronous reset. */
                /* Asynchronous reset. */
                if falling_edge(irq_write) then
                if reset then rv<=rv0.randCovPoint; rv0.iCover(rv);
 
                elsif falling_edge(irq_write) then
 
                        if reset then
 
                                rv<=rv0.randCovPoint;
 
                                rv0.iCover(rv);
 
                        end if;
 
 
                        case txFSM is
                        case txFSM is
                                when transmitting=>
                                when transmitting=>
                                        if trigger and not isCovered then
                                        if trigger and not isCovered then
                                                /* Pseudorandom stimuli generation using OS-VVM. */
                                                /* Pseudorandom stimuli generation using OS-VVM. */
                                                /* synthesis translate_off */
                                                /* synthesis translate_off */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.