OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [simulation/] [questa/] [simulate.cmd] - Diff between revs 32 and 33

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 33
Line 27... Line 27...
rem     @history: @see Mercurial log for full list of changes.
rem     @history: @see Mercurial log for full list of changes.
rem
rem
rem     @Description:
rem     @Description:
rem
rem
 
 
rem read -p "press Enter to run full simulation now, or Ctrl-C to exit: ";
rem Remove logs, and previous compilation netlist files.
del modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop
del modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop
 
 
vlib work; vmap work work
vlib work
vlib osvvm; vmap osvvm osvvm
vmap work work
vlib tauhop; vmap tauhop tauhop
 
 
vlib osvvm
 
vmap osvvm osvvm
 
 
 
vlib tauhop
 
vmap tauhop tauhop
 
 
vcom -2008 -work osvvm ../../../rtl/packages/os-vvm/SortListPkg_int.vhd ../../../rtl/packages/os-vvm/RandomBasePkg.vhd ../../../rtl/packages/os-vvm/RandomPkg.vhd ../../../rtl/packages/os-vvm/CoveragePkg.vhd
vcom -2008 -work osvvm ../../../rtl/packages/os-vvm/SortListPkg_int.vhd ../../../rtl/packages/os-vvm/RandomBasePkg.vhd ../../../rtl/packages/os-vvm/RandomPkg.vhd ../../../rtl/packages/os-vvm/CoveragePkg.vhd
 
 
vcom -2008 -work tauhop ../../../rtl/packages/pkg-tlm.vhdl ../../../rtl/packages/pkg-axi-tlm.vhdl ../../../rtl/packages/pkg-types.vhdl ../../../rtl/axi4-stream-bfm-master.vhdl ../../../tester/stimuli/galois-lfsr.vhdl ../../../tester/stimuli/prbs-31.vhdl
vcom -2008 -work tauhop ../../../rtl/packages/pkg-tlm.vhdl ../../../rtl/packages/pkg-axi-tlm.vhdl ../../../rtl/packages/pkg-types.vhdl ../../../rtl/axi4-stream-bfm-master.vhdl ../../../tester/stimuli/galois-lfsr.vhdl ../../../tester/stimuli/prbs-31.vhdl
 
 
vcom -2008 -work work ../../../tester/tester.vhdl ../../../rtl/user.vhdl
vcom -2008 -work work ../../../tester/tester.vhdl ../../../rtl/user.vhdl
 
 
rem Make sure you have no compilation errors before you run vsim.
rem Make sure you have no compilation errors before you run vsim.
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)";
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)"
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)"
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.