OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [simulation/] [questa/] [simulate.cmd] - Diff between revs 34 and 35

Show entire file | Details | Blame | View Log

Rev 34 Rev 35
Line 1... Line 1...
rem     Example bash script for Mentor Graphics QuestaSim/ModelSim simulation.
rem     Example bash script for Mentor Graphics QuestaSim\ModelSim simulation.
rem
rem
rem     Author(s):
rem     Author(s):
rem     - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
rem     - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
rem
rem
rem     Copyright (C) 2012-2013 Authors and OPENCORES.ORG
rem     Copyright (C) 2012-2013 Authors and OPENCORES.ORG
rem
rem
rem  This program is free software: you can redistribute it and/or modify
rem  This program is free software: you can redistribute it and\or modify
rem  it under the terms of the GNU General Public License as published by
rem  it under the terms of the GNU General Public License as published by
rem  the Free Software Foundation, either version 3 of the License, or
rem  the Free Software Foundation, either version 3 of the License, or
rem  (at your option) any later version.
rem  (at your option) any later version.
rem
rem
rem  This program is distributed in the hope that it will be useful,
rem  This program is distributed in the hope that it will be useful,
rem  but WITHOUT ANY WARRANTY; without even the implied warranty of
rem  but WITHOUT ANY WARRANTY; without even the implied warranty of
rem  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
rem  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
rem  GNU General Public License for more details.
rem  GNU General Public License for more details.
rem
rem
rem  You should have received a copy of the GNU General Public License
rem  You should have received a copy of the GNU General Public License
rem  along with this program.  If not, see .
rem  along with this program.  If not, see .
rem
rem
rem  This notice and disclaimer must be retained as part of this text at all times.
rem  This notice and disclaimer must be retained as part of this text at all times.
rem
rem
rem     @dependencies:
rem     @dependencies:
rem     @designer: Daniel C.K. Kho [daniel.kho@gmail.com] | [daniel.kho@tauhop.com]
rem     @designer: Daniel C.K. Kho [daniel.kho@gmail.com] | [daniel.kho@tauhop.com]
Line 26... Line 26...
rem
rem
rem     @Description:
rem     @Description:
rem
rem
 
 
rem Remove logs, and previous compilation netlist files.
rem Remove logs, and previous compilation netlist files.
del modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop
del modelsim.ini simulate.log
 
rmdir work altera osvvm tauhop
 
 
vlib work
vlib work
vmap work work
vmap work work
 
 
vlib osvvm
vlib osvvm
vmap osvvm osvvm
vmap osvvm osvvm
 
 
vlib tauhop
vlib tauhop
vmap tauhop tauhop
vmap tauhop tauhop
 
 
vcom -2008 -work osvvm "../../../rtl/packages/os-vvm/SortListPkg_int.vhd" "../../../rtl/packages/os-vvm/RandomBasePkg.vhd" "../../../rtl/packages/os-vvm/RandomPkg.vhd" "../../../rtl/packages/os-vvm/CoveragePkg.vhd"
vcom -2008 -work osvvm "..\..\..\rtl\packages\os-vvm\SortListPkg_int.vhd" "..\..\..\rtl\packages\os-vvm\RandomBasePkg.vhd" "..\..\..\rtl\packages\os-vvm\RandomPkg.vhd" "..\..\..\rtl\packages\os-vvm\CoveragePkg.vhd"
 
 
vcom -2008 -work tauhop "../../../rtl/packages/pkg-tlm.vhdl" "../../../rtl/packages/pkg-axi-tlm.vhdl" "../../../rtl/packages/pkg-types.vhdl" "../../../rtl/axi4-stream-bfm-master.vhdl" "../../../tester/stimuli/galois-lfsr.vhdl" "../../../tester/stimuli/prbs-31.vhdl"
vcom -2008 -work tauhop "..\..\..\rtl\packages\pkg-tlm.vhdl" "..\..\..\rtl\packages\pkg-axi-tlm.vhdl" "..\..\..\rtl\packages\pkg-types.vhdl" "..\..\..\rtl\axi4-stream-bfm-master.vhdl" "..\..\..\tester\stimuli\galois-lfsr.vhdl" "..\..\..\tester\stimuli\prbs-31.vhdl"
 
 
vcom -2008 -work work "../../../tester/tester.vhdl" "../../../rtl/user.vhdl"
vcom -2008 -work work "..\..\..\tester\tester.vhdl" "..\..\..\rtl\user.vhdl"
 
 
rem Make sure you have no compilation errors before you run vsim.
rem Make sure you have no compilation errors before you run vsim. Uncomment the following after there are no compilation errors.
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)"
rem vsim -t ps -do .\waves.do -voptargs="+acc" "work.user(rtl)"
rem vsim -t ps -do .\waves.do -voptargs="+acc" "work.user(rtl)"
rem vsim -t ps -do .\waves.do -voptargs="+acc" "work.user(rtl)"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.