OpenCores
URL https://opencores.org/ocsvn/bluespec-reedsolomon/bluespec-reedsolomon/trunk

Subversion Repositories bluespec-reedsolomon

[/] [bluespec-reedsolomon/] [trunk/] [sw-reedsolomon/] [syndrome.cpp] - Diff between revs 5 and 9

Show entire file | Details | Blame | View Log

Rev 5 Rev 9
Line 27... Line 27...
 
 
#include "global_rs.h"
#include "global_rs.h"
#include "gf_arith.h"
#include "gf_arith.h"
#include "syndrome.h"
#include "syndrome.h"
 
 
#pragma hls_design
 
// Parameters k and t need to be dynamically used for each packet
// Parameters k and t need to be dynamically used for each packet
// However, this version uses static values for simplicity
// However, this version uses static values for simplicity
 
 
 
// Directive: Synthesize independently
void syndrome (unsigned char k, unsigned char t, unsigned char r[nn], unsigned char s[2*tt])
void syndrome (unsigned char k, unsigned char t, unsigned char r[nn], unsigned char s[2*tt])
{
{
   unsigned char r_temp;
   unsigned char r_temp;
 
 
   #pragma unroll true
   // Directive: Unroll loop maximally
   Syn_Init: for (int j=0; j<2*tt; j++)
   Syn_Init: for (int j=0; j<2*tt; j++)
      s[j]=0;
      s[j]=0;
 
 
   Syn_Outer: for (int i = 0; i < nn; ++ i)
   Syn_Outer: for (int i = 0; i < nn; ++ i)
   {
   {
      r_temp = r[i];
      r_temp = r[i];
 
 
      #pragma unroll true
      // Directive: Unroll loop maximally
      Syn_Inner: for (int j = 0; j < 2*tt; ++ j)
      Syn_Inner: for (int j = 0; j < 2*tt; ++ j)
      {
      {
         //                     cout << "(" << (int) r [j] << ") " 
 
         //          << (int) a << " : " << (int) s [i] << endl;
 
         s[j] = gfmult_hw (s [j], alpha(j+1)) ^ r_temp;
         s[j] = gfmult_hw (s [j], alpha(j+1)) ^ r_temp;
      }
      }
   }
   }
}
}
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.