OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [rtl/] [altera/] [virtual_jtag_adda_trig.v] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 11... Line 11...
// Date               : 2012/03/15
// Date               : 2012/03/15
// Description        : addr/data trigger input from debug host
// Description        : addr/data trigger input from debug host
//                      via Virtual JTAG.
//                      via Virtual JTAG.
//**************************************************************
//**************************************************************
 
 
`include "../../sim/altera/jtag_sim_define.h"
`include "jtag_sim_define.h"
`timescale 1ns/1ns
`timescale 1ns/1ns
 
 
module virtual_jtag_adda_trig(trig_out);
module virtual_jtag_adda_trig(trig_out);
 
 
parameter trig_width  = 32;
parameter trig_width  = 32;
Line 91... Line 91...
                                .jtag_state_e2ir ());
                                .jtag_state_e2ir ());
        defparam
        defparam
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
                sld_virtual_jtag_component.sld_instance_index = 2,
                sld_virtual_jtag_component.sld_instance_index = 2,
                sld_virtual_jtag_component.sld_ir_width = 2,
                sld_virtual_jtag_component.sld_ir_width = 2,
 
                `ifdef USE_SIM_STIMULUS
                sld_virtual_jtag_component.sld_sim_action       = `TRIG_SLD_SIM_ACTION,
                sld_virtual_jtag_component.sld_sim_action       = `TRIG_SLD_SIM_ACTION,
                sld_virtual_jtag_component.sld_sim_n_scan       = `TRIG_SLD_SIM_N_SCAN,
                sld_virtual_jtag_component.sld_sim_n_scan       = `TRIG_SLD_SIM_N_SCAN,
                sld_virtual_jtag_component.sld_sim_total_length = `TRIG_SLD_SIM_T_LENG;
                sld_virtual_jtag_component.sld_sim_total_length = `TRIG_SLD_SIM_T_LENG;
 
                `else
 
                sld_virtual_jtag_component.sld_sim_action       = "((1,1,1,2))",
 
                sld_virtual_jtag_component.sld_sim_n_scan       = 1,
 
                sld_virtual_jtag_component.sld_sim_total_length = 2;
 
                `endif
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.