OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [sim/] [altera/] [virtual_jtag_stimulus.tcl] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 378... Line 378...
        set    addr_sim_len [expr $addr_sim_len+2]
        set    addr_sim_len [expr $addr_sim_len+2]
        append trig_sim_act (1,1,1,2))\"
        append trig_sim_act (1,1,1,2))\"
        set    trig_sim_num [expr $trig_sim_num+1]
        set    trig_sim_num [expr $trig_sim_num+1]
        set    trig_sim_len [expr $trig_sim_len+2]
        set    trig_sim_len [expr $trig_sim_len+2]
        $log delete 1.0 end
        $log delete 1.0 end
 
        $log insert end "`define USE_SIM_STIMULUS\n\n"
        $log insert end "`define FIFO_SLD_SIM_ACTION $fifo_sim_act\n"
        $log insert end "`define FIFO_SLD_SIM_ACTION $fifo_sim_act\n"
        $log insert end "`define FIFO_SLD_SIM_N_SCAN $fifo_sim_num\n"
        $log insert end "`define FIFO_SLD_SIM_N_SCAN $fifo_sim_num\n"
        $log insert end "`define FIFO_SLD_SIM_T_LENG $fifo_sim_len\n\n"
        $log insert end "`define FIFO_SLD_SIM_T_LENG $fifo_sim_len\n\n"
        $log insert end "`define ADDR_SLD_SIM_ACTION $addr_sim_act\n"
        $log insert end "`define ADDR_SLD_SIM_ACTION $addr_sim_act\n"
        $log insert end "`define ADDR_SLD_SIM_N_SCAN $addr_sim_num\n"
        $log insert end "`define ADDR_SLD_SIM_N_SCAN $addr_sim_num\n"
        $log insert end "`define ADDR_SLD_SIM_T_LENG $addr_sim_len\n\n"
        $log insert end "`define ADDR_SLD_SIM_T_LENG $addr_sim_len\n\n"
        $log insert end "`define TRIG_SLD_SIM_ACTION $trig_sim_act\n"
        $log insert end "`define TRIG_SLD_SIM_ACTION $trig_sim_act\n"
        $log insert end "`define TRIG_SLD_SIM_N_SCAN $trig_sim_num\n"
        $log insert end "`define TRIG_SLD_SIM_N_SCAN $trig_sim_num\n"
        $log insert end "`define TRIG_SLD_SIM_T_LENG $trig_sim_len\n\n"
        $log insert end "`define TRIG_SLD_SIM_T_LENG $trig_sim_len\n\n"
 
 
        set fileId [open jtag_sim_define.h w]
        set fileId [open ../../rtl/altera/jtag_sim_define.h w]
        puts $fileId [$log get 1.0 end]
        puts $fileId [$log get 1.0 end]
        close $fileId
        close $fileId
}
}
 
 
proc quit_console {} {
proc quit_console {} {

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.