OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [testbench/] [tb-ed.vhdl] - Diff between revs 3 and 4

Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 1... Line 1...
----------------------------------------------------------------------------------
 
-- Company: Carnegie Mellon University, Pittsburgh PA 
 
-- Engineer: Justin Wagner
 
-- 
 
-- Create Date:    7/Oct/2011
 
-- Design Name: 
 
-- Module Name:    tb_edge_detector - testbench 
 
-- Project Name: 
 
-- Target Devices:  n/a
 
-- Tool versions: 
 
--
 
-- Dependencies: 
 
--
 
----------------------------------------------------------------------------------
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use std.textio.all;
use std.textio.all;
 
 
entity tb_edge_detector is
entity tb_edge_detector is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.