OpenCores
URL https://opencores.org/ocsvn/fpga-median/fpga-median/trunk

Subversion Repositories fpga-median

[/] [fpga-median/] [trunk/] [rtl/] [state_machine.v] - Diff between revs 2 and 9

Show entire file | Details | Blame | View Log

Rev 2 Rev 9
Line 1... Line 1...
// +----------------------------------------------------------------------------
/* --------------------------------------------------------------------------------
// Universidade Federal da Bahia
 This file is part of FPGA Median Filter.
//------------------------------------------------------------------------------
 
// PROJECT: FPGA Median Filter
    FPGA Median Filter is free software: you can redistribute it and/or modify
//------------------------------------------------------------------------------
    it under the terms of the GNU General Public License as published by
// FILE NAME            : median.v
    the Free Software Foundation, either version 3 of the License, or
// AUTHOR               : Joo Carlos Bittencourt
    (at your option) any later version.
// AUTHOR'S E-MAIL      : joaocarlos@ieee.org
 
// -----------------------------------------------------------------------------
    FPGA Median Filter is distributed in the hope that it will be useful,
// RELEASE HISTORY
    but WITHOUT ANY WARRANTY; without even the implied warranty of
// VERSION  DATE        AUTHOR        DESCRIPTION
    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
// 1.0      2013-08-13  joao.nunes    initial version
    GNU General Public License for more details.
// 2.0      2013-09-06  laur.rami     fix minnor issues on memory address
 
// -----------------------------------------------------------------------------
    You should have received a copy of the GNU General Public License
// KEYWORDS: median, filter, image processing, state machine
    along with FPGA Median Filter.  If not, see <http://www.gnu.org/licenses/>.
// -----------------------------------------------------------------------------
-------------------------------------------------------------------------------- */
// PURPOSE: Windowing Memory Address Controller.
/* +----------------------------------------------------------------------------
// -----------------------------------------------------------------------------
   Universidade Federal da Bahia
 
  ------------------------------------------------------------------------------
 
   PROJECT: FPGA Median Filter
 
  ------------------------------------------------------------------------------
 
   FILE NAME            : median.v
 
   AUTHOR               : Joo Carlos Bittencourt
 
   AUTHOR'S E-MAIL      : joaocarlos@ieee.org
 
   -----------------------------------------------------------------------------
 
   RELEASE HISTORY
 
   VERSION  DATE        AUTHOR        DESCRIPTION
 
   1.0      2013-08-13  joao.nunes    initial version
 
   2.0      2013-09-06  laur.rami     fix minnor issues on memory address
 
   -----------------------------------------------------------------------------
 
   KEYWORDS: median, filter, image processing, state machine
 
   -----------------------------------------------------------------------------
 
   PURPOSE: Windowing Memory Address Controller.
 
   ----------------------------------------------------------------------------- */
module state_machine
module state_machine
#(
#(
    parameter LUT_ADDR_WIDTH = 10,
    parameter LUT_ADDR_WIDTH = 10,
    parameter IMG_WIDTH = 234,
    parameter IMG_WIDTH = 234,
    parameter IMG_HEIGHT = 234
    parameter IMG_HEIGHT = 234

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.