OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

[/] [nand_controller/] [trunk/] [VHDL/] [io_unit.vhd] - Diff between revs 9 and 11

Show entire file | Details | Blame | View Log

Rev 9 Rev 11
Line 78... Line 78...
                                        state                                   <= IO_DELAY;
                                        state                                   <= IO_DELAY;
 
 
                                when IO_DELAY =>
                                when IO_DELAY =>
                                        if(delay > 1)then
                                        if(delay > 1)then
                                                delay                   <= delay - 1;
                                                delay                   <= delay - 1;
                                        else
                                                if(delay = 2 and io_type = IO_READ)then
                                                if(io_type = IO_READ and n_state = IO_IDLE)then
                                                        data_reg        <= data_in;
                                                        data_reg                <= data_in;                                                                     -- This thing needs to be checked with read hardware. Assignment may be needed somewhat earlier.
 
                                                end if;
                                                end if;
 
                                        else
 
--                                              if(io_type = IO_READ and n_state = IO_IDLE)then
 
--                                                      data_reg                <= data_in;                                                                     -- This thing needs to be checked with real hardware. Assignment may be needed somewhat earlier.
 
--                                              end if;
                                                state                   <= n_state;
                                                state                   <= n_state;
                                        end if;
                                        end if;
 
 
                                when others =>
                                when others =>
                                        state                           <= IO_IDLE;
                                        state                           <= IO_IDLE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.