OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

[/] [nand_controller/] [trunk/] [VHDL/] [onfi_package.vhd] - Diff between revs 9 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 12
Line 36... Line 36...
        constant        t_reh           :       integer := integer(7.5          / clock_cycle);
        constant        t_reh           :       integer := integer(7.5          / clock_cycle);
        constant        t_wb            :       integer := integer(100.0        / clock_cycle);
        constant        t_wb            :       integer := integer(100.0        / clock_cycle);
        constant        t_rst           :       integer := integer(5000.0       / clock_cycle);
        constant        t_rst           :       integer := integer(5000.0       / clock_cycle);
        constant        t_bers  :       integer :=      integer(700000.0 / clock_cycle);
        constant        t_bers  :       integer :=      integer(700000.0 / clock_cycle);
        constant        t_whr           :       integer :=      integer(80.0    / clock_cycle);
        constant        t_whr           :       integer :=      integer(80.0    / clock_cycle);
        constant        t_prog  :       integer :=      integer(600000000.0 / clock_cycle);
        constant        t_prog  :       integer :=      integer(600000.0 / clock_cycle);
        constant        t_adl           :       integer :=      integer(70.0    / clock_cycle);
        constant        t_adl           :       integer :=      integer(70.0    / clock_cycle);
 
 
        type latch_t is (LATCH_CMD, LATCH_ADDR);
        type latch_t is (LATCH_CMD, LATCH_ADDR);
        type io_t is (IO_READ, IO_WRITE);
        type io_t is (IO_READ, IO_WRITE);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.