OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_xirq.vhd] - Diff between revs 70 and 74

Show entire file | Details | Blame | View Log

Rev 70 Rev 74
Line 8... Line 8...
-- # and XIRQ_TRIGGER_POLARITY generics. These allow to configure channel-independent low-level,   #
-- # and XIRQ_TRIGGER_POLARITY generics. These allow to configure channel-independent low-level,   #
-- # high-level, falling-edge and rising-edge triggers.                                            #
-- # high-level, falling-edge and rising-edge triggers.                                            #
-- # ********************************************************************************************* #
-- # ********************************************************************************************* #
-- # BSD 3-Clause License                                                                          #
-- # BSD 3-Clause License                                                                          #
-- #                                                                                               #
-- #                                                                                               #
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
-- #                                                                                               #
-- #                                                                                               #
-- # Redistribution and use in source and binary forms, with or without modification, are          #
-- # Redistribution and use in source and binary forms, with or without modification, are          #
-- # permitted provided that the following conditions are met:                                     #
-- # permitted provided that the following conditions are met:                                     #
-- #                                                                                               #
-- #                                                                                               #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
Line 184... Line 184...
      irq_buf <= (irq_buf or (irq_trig and irq_enable)) and clr_pending;
      irq_buf <= (irq_buf or (irq_trig and irq_enable)) and clr_pending;
    end if;
    end if;
  end process irq_buffer;
  end process irq_buffer;
 
 
  -- anyone firing? --
  -- anyone firing? --
  irq_fire <= or_reduce_f(irq_buf);
  irq_fire <= '1' when (or_reduce_f(irq_buf) = '1') else '0';
 
 
 
 
  -- IRQ Priority Encoder -----------------------------------------------------
  -- IRQ Priority Encoder -----------------------------------------------------
  -- -----------------------------------------------------------------------------
  -- -----------------------------------------------------------------------------
  irq_priority: process(irq_buf)
  irq_priority: process(irq_buf)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.