OpenCores
URL https://opencores.org/ocsvn/nysa_sata/nysa_sata/trunk

Subversion Repositories nysa_sata

[/] [nysa_sata/] [trunk/] [rtl/] [generic/] [ppfifo.v] - Diff between revs 3 and 5

Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 446... Line 446...
 
 
    r_ready                         <=  2'b00;
    r_ready                         <=  2'b00;
 
 
    r_next_fifo                     <=  0;
    r_next_fifo                     <=  0;
    r_read_data                     <=  0;
    r_read_data                     <=  0;
 
    read_ready                      <=  0;
 
 
  end
  end
  else begin
  else begin
    r_pre_strobe                    <=  read_strobe;
    r_pre_strobe                    <=  read_strobe;
    //Handle user enable and ready
    //Handle user enable and ready

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.