OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [rtl/] [8051/] [oc8051_multiply.v] - Diff between revs 2 and 25

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 25
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// multiply for 8051 Core                                       ////
//// multiply for 8051 Core                                       ////
////                                                              ////
////                                                              ////
//// This file is part of the 8051 cores project                  ////
//// This file is part of the 8051 cores project                  ////
////  http://www.opencores.org/cores/oms8051mini/                 ////
////  http://www.opencores.org/cores/oms8051mini/                 ////
////                                                              ////
////                                                              ////
//// Description                                                  ////
//// Description                                                  ////
//// Implementation of multipication used in alu.v                ////
//// Implementation of multipication used in alu.v                ////
////                                                              ////
////                                                              ////
//// To Do:                                                       ////
//// To Do:                                                       ////
////  Nothing                                                     ////
////  Nothing                                                     ////
////                                                              ////
////                                                              ////
//// Author(s):                                                   ////
//// Author(s):                                                   ////
//// - Simon Teran, simont@opencores.org                          ////
//// - Simon Teran, simont@opencores.org                          ////
//// - Marko Mlinar, markom@opencores.org                         ////
//// - Marko Mlinar, markom@opencores.org                         ////
//// - Dinesh Annayya, dinesha@opencores.org                      ////
//// - Dinesh Annayya, dinesha@opencores.org                      ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
////   v0.0 - Dinesh A, 5th Jan 2017
 
////        1. Active edge of reset changed from High to Low
 
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2001 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2001 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
// Revision 1.8  2002/09/30 17:33:59  simont
// Revision 1.8  2002/09/30 17:33:59  simont
// prepared header
// prepared header
//
//
//
//
// ver: 2 markom
// ver: 2 markom
// changed to two cycle multiplication, to save resources and
// changed to two cycle multiplication, to save resources and
// increase speed
// increase speed
//
//
// ver: 3 markom
// ver: 3 markom
// changed to four cycle multiplication, to save resources and
// changed to four cycle multiplication, to save resources and
// increase speed
// increase speed
 
 
 
 
 
 
module oc8051_multiply (clk, rst, enable, src1, src2, des1, des2, desOv);
module oc8051_multiply (clk, resetn, enable, src1, src2, des1, des2, desOv);
//
//
// this module is part of alu
// this module is part of alu
// clk          (in)
// clk          (in)
// rst          (in)
// resetn          (in)
// enable       (in)
// enable       (in)
// src1         (in)  first operand
// src1         (in)  first operand
// src2         (in)  second operand
// src2         (in)  second operand
// des1         (out) first result
// des1         (out) first result
// des2         (out) second result
// des2         (out) second result
// desOv        (out) Overflow output
// desOv        (out) Overflow output
//
//
 
 
input clk, rst, enable;
input clk, resetn, enable;
input [7:0] src1, src2;
input [7:0] src1, src2;
output desOv;
output desOv;
output [7:0] des1, des2;
output [7:0] des1, des2;
 
 
// wires
// wires
wire [15:0] mul_result1, mul_result, shifted;
wire [15:0] mul_result1, mul_result, shifted;
 
 
// real registers
// real registers
reg [1:0] cycle;
reg [1:0] cycle;
reg [15:0] tmp_mul;
reg [15:0] tmp_mul;
 
 
assign mul_result1 = src1 * (cycle == 2'h0 ? src2[7:6]
assign mul_result1 = src1 * (cycle == 2'h0 ? src2[7:6]
                           : cycle == 2'h1 ? src2[5:4]
                           : cycle == 2'h1 ? src2[5:4]
                           : cycle == 2'h2 ? src2[3:2]
                           : cycle == 2'h2 ? src2[3:2]
                           : src2[1:0]);
                           : src2[1:0]);
 
 
assign shifted = (cycle == 2'h0 ? 16'h0 : {tmp_mul[13:0], 2'b00});
assign shifted = (cycle == 2'h0 ? 16'h0 : {tmp_mul[13:0], 2'b00});
assign mul_result = mul_result1 + shifted;
assign mul_result = mul_result1 + shifted;
assign des1 = mul_result[15:8];
assign des1 = mul_result[15:8];
assign des2 = mul_result[7:0];
assign des2 = mul_result[7:0];
assign desOv = | des1;
assign desOv = | des1;
 
 
always @(posedge clk or posedge rst)
always @(posedge clk or negedge resetn)
begin
begin
  if (rst) begin
  if (resetn == 1'b0) begin
    cycle <= #1 2'b0;
    cycle <= #1 2'b0;
    tmp_mul <= #1 16'b0;
    tmp_mul <= #1 16'b0;
  end else begin
  end else begin
    if (enable) cycle <= #1 cycle + 2'b1;
    if (enable) cycle <= #1 cycle + 2'b1;
    tmp_mul <= #1 mul_result;
    tmp_mul <= #1 mul_result;
  end
  end
end
end
 
 
endmodule
endmodule
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.