OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [sim/] [rtl_sim/] [src/] [hitter_sim.vhd] - Diff between revs 21 and 23

Show entire file | Details | Blame | View Log

Rev 21 Rev 23
Line 116... Line 116...
   --);
   --);
   --
   --
   flasher: process (RX_CLK) is
   flasher: process (RX_CLK) is
   begin
   begin
      if rising_edge(RX_CLK) then
      if rising_edge(RX_CLK) then
 
 
    --     if (pos < 4) then         -- ghdl flash
    --     if (pos < 4) then         -- ghdl flash
         if (pos < 62500000) then   -- 125Mhz timing / 0.5s
    --     if (pos < 62500000) then   -- 125Mhz timing / 0.5s
 
         if (pos < 25000000) then   -- 125Mhz timing / 0.2s
 
            restart <= '1';
 
            pos <= pos + 1;
 
         elsif (pos < 125000000) then   -- 125Mhz timing / 1s
            pos <= pos + 1;
            pos <= pos + 1;
 
            restart <= '0';
         else
         else
            alight <= not alight;
            alight <= not alight;
            pos <= 0;
            pos <= 0;
         end if;
         end if;
 
 
      end if;
      end if;
   end process flasher;
   end process flasher;
 
 
   LEDS_POSITIONS_TRI_O(0) <= processing;       -- C   
   LEDS_POSITIONS_TRI_O(0) <= processing;       -- C   
   LEDS_POSITIONS_TRI_O(1) <= alight;           -- W
   LEDS_POSITIONS_TRI_O(1) <= alight;           -- W
   LEDS_POSITIONS_TRI_O(2) <= result_one;       -- S
   LEDS_POSITIONS_TRI_O(2) <= result_one;       -- S
   LEDS_POSITIONS_TRI_O(3) <= result_two;       -- N
   LEDS_POSITIONS_TRI_O(3) <= result_two;       -- N
   LEDS_POSITIONS_TRI_O(4) <= result_all_ok;    -- E
   LEDS_POSITIONS_TRI_O(4) <= result_all_ok;    -- E
   restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
 --  restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
end implementation;
end implementation;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.