OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [DataPath.vhd] - Diff between revs 29 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 42
Line 20... Line 20...
         Port ( inputMm : in  STD_LOGIC_VECTOR (n downto 0);                     --! Input of Datapath from main memory       
         Port ( inputMm : in  STD_LOGIC_VECTOR (n downto 0);                     --! Input of Datapath from main memory       
                          inputImm : in  STD_LOGIC_VECTOR (n downto 0);                  --! Input of Datapath from imediate value (instructions...)
                          inputImm : in  STD_LOGIC_VECTOR (n downto 0);                  --! Input of Datapath from imediate value (instructions...)
                          clk : in  STD_LOGIC;                                                                                          --! Clock signal
                          clk : in  STD_LOGIC;                                                                                          --! Clock signal
           outEn : in  typeEnDis;                                                                                       --! Enable/Disable datapath output
           outEn : in  typeEnDis;                                                                                       --! Enable/Disable datapath output
           aluOp : in  aluOps;                                                                                          --! Alu operations
           aluOp : in  aluOps;                                                                                          --! Alu operations
           muxSel : in  STD_LOGIC_VECTOR (2 downto 0);                           --! Select inputs from dataPath(Memory,Imediate,RegisterFile,Alu)
           muxSel : in  dpMuxInputs;                                                                            --! Select inputs from dataPath(Memory,Imediate,RegisterFile,Alu)
                          muxRegFile : in STD_LOGIC_VECTOR(1 downto 0);                          --! Select Alu InputA (Memory,Imediate,RegFileA)
                          muxRegFile : in STD_LOGIC_VECTOR(1 downto 0);                          --! Select Alu InputA (Memory,Imediate,RegFileA)
           regFileWriteAddr : in  generalRegisters;                                     --! General register write address
           regFileWriteAddr : in  generalRegisters;                                     --! General register write address
           regFileWriteEn : in  STD_LOGIC;                                                              --! RegisterFile write enable signal
           regFileWriteEn : in  STD_LOGIC;                                                              --! RegisterFile write enable signal
           regFileReadAddrA : in  generalRegisters;                                     --! General register read address (PortA)
           regFileReadAddrA : in  generalRegisters;                                     --! General register read address (PortA)
           regFileReadAddrB : in  generalRegisters;                                     --! General register read address (PortB)
           regFileReadAddrB : in  generalRegisters;                                     --! General register read address (PortB)
Line 44... Line 44...
        Port ( A   : in  STD_LOGIC_VECTOR (n downto 0);  --! First Input
        Port ( A   : in  STD_LOGIC_VECTOR (n downto 0);  --! First Input
                  B   : in  STD_LOGIC_VECTOR (n downto 0);       --! Second Input
                  B   : in  STD_LOGIC_VECTOR (n downto 0);       --! Second Input
                  C   : in  STD_LOGIC_VECTOR (n downto 0);       --! Third Input
                  C   : in  STD_LOGIC_VECTOR (n downto 0);       --! Third Input
                  D   : in  STD_LOGIC_VECTOR (n downto 0);       --! Forth Input
                  D   : in  STD_LOGIC_VECTOR (n downto 0);       --! Forth Input
                  E   : in  STD_LOGIC_VECTOR (n downto 0);       --! Fifth Input
                  E   : in  STD_LOGIC_VECTOR (n downto 0);       --! Fifth Input
        sel : in  STD_LOGIC_VECTOR (2 downto 0); --! Select inputs (1, 2, 3, 4, 5)
        sel : in  dpMuxInputs;                                                  --! Select inputs (1, 2, 3, 4, 5)
                  S   : out  STD_LOGIC_VECTOR (n downto 0));     --! Mux Output
                  S   : out  STD_LOGIC_VECTOR (n downto 0));     --! Mux Output
END COMPONENT;
END COMPONENT;
 
 
--! Component declaration to instantiate the Multiplexer3_1 circuit
--! Component declaration to instantiate the Multiplexer3_1 circuit
COMPONENT Multiplexer3_1 is
COMPONENT Multiplexer3_1 is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.