OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [opencpu32.gise] - Diff between revs 46 and 47

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 46 Rev 47
Line 59... Line 59...
    
    
    
    
    
    
    
    
    
    
 
    
    
    
    
    
 
    
    
    
 
    
    
    
 
    
 
    
 
    
    
    
 
    
    
    
    
    
 
    
 
    
 
    
 
    
    
    
    
    
 
    
 
    
 
    
 
    
 
    
 
    
 
    
 
    
 
    
 
    
    
    
 
    
 
    
    
    
    
    
    
    
    
    
    
    
Line 230... Line 253...
  
  
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
      
      
Line 250... Line 273...
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
      
      
Line 282... Line 305...
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
      
      
      
      
      
      
Line 294... Line 317...
      
      
      
      
      
      
      
      
    
    
    
    
      
      
      
      
      
 
      
 
      
      
      
      
      
      
    
    
    
    
      
      
      
      
    
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
    
 
    
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
      
 
    
 
    
 
      
 
      
 
      
 
    
  
  
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.