OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testOpenCpu.vhd] - Diff between revs 41 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 41 Rev 42
Line 99... Line 99...
                rst <= '1';
                rst <= '1';
      wait for 2 ns;
      wait for 2 ns;
                rst <= '0';
                rst <= '0';
                wait for 2 ns;
                wait for 2 ns;
 
 
      wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
                wait for CLK_period;
      wait until mem_rd = '0';
 
 
                wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
      wait for CLK_period;
      wait until mem_rd = '0';
 
 
                wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
                wait for CLK_period;
                wait until mem_rd = '0';
 
 
                wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
                wait for CLK_period;
                wait until mem_rd = '0';
 
 
                wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 
                wait for CLK_period;
                wait until mem_rd = '0';
 
 
                wait until mem_rd = '1';
 
                readline(cmdfile,line_in);                       -- Read a line from the file
                readline(cmdfile,line_in);                       -- Read a line from the file
                read(line_in,instructionCode,good);     -- Read the CI input
                read(line_in,instructionCode,good);     -- Read the CI input
                assert good report "Could not parse the line" severity ERROR;
                assert good report "Could not parse the line" severity ERROR;
                mem_data_in <= instructionCode;
                mem_data_in <= instructionCode;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.