OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [actel_m1a3pl_dev_kit/] [rtl/] [verilog/] [openMSP430_fpga.v] - Diff between revs 155 and 202

Show entire file | Details | Blame | View Log

Rev 155 Rev 202
Line 317... Line 317...
    .dmem_wen          (dmem_wen),     // Data Memory write enable (low active)
    .dmem_wen          (dmem_wen),     // Data Memory write enable (low active)
    .irq_acc           (irq_acc),      // Interrupt request accepted (one-hot signal)
    .irq_acc           (irq_acc),      // Interrupt request accepted (one-hot signal)
    .lfxt_enable       (),             // ASIC ONLY: Low frequency oscillator enable
    .lfxt_enable       (),             // ASIC ONLY: Low frequency oscillator enable
    .lfxt_wkup         (),             // ASIC ONLY: Low frequency oscillator wake-up (asynchronous)
    .lfxt_wkup         (),             // ASIC ONLY: Low frequency oscillator wake-up (asynchronous)
    .mclk              (mclk),         // Main system clock
    .mclk              (mclk),         // Main system clock
 
    .dma_dout          (),             // Direct Memory Access data output
 
    .dma_ready         (),             // Direct Memory Access is complete
 
    .dma_resp          (),             // Direct Memory Access response (0:Okay / 1:Error)
    .per_addr          (per_addr),     // Peripheral address
    .per_addr          (per_addr),     // Peripheral address
    .per_din           (per_din),      // Peripheral data input
    .per_din           (per_din),      // Peripheral data input
    .per_we            (per_we),       // Peripheral write enable (high active)
 
    .per_en            (per_en),       // Peripheral enable (high active)
    .per_en            (per_en),       // Peripheral enable (high active)
 
    .per_we            (per_we),       // Peripheral write enable (high active)
    .pmem_addr         (pmem_addr),    // Program Memory address
    .pmem_addr         (pmem_addr),    // Program Memory address
    .pmem_cen          (pmem_cen),     // Program Memory chip enable (low active)
    .pmem_cen          (pmem_cen),     // Program Memory chip enable (low active)
    .pmem_din          (pmem_din),     // Program Memory data input (optional)
    .pmem_din          (pmem_din),     // Program Memory data input (optional)
    .pmem_wen          (pmem_wen),     // Program Memory write enable (low active) (optional)
    .pmem_wen          (pmem_wen),     // Program Memory write enable (low active) (optional)
    .puc_rst           (puc_rst),      // Main system reset
    .puc_rst           (puc_rst),      // Main system reset
Line 341... Line 344...
    .dbg_uart_rxd      (uart_rx),      // Debug interface: UART RXD (asynchronous)
    .dbg_uart_rxd      (uart_rx),      // Debug interface: UART RXD (asynchronous)
    .dco_clk           (dco_clk),      // Fast oscillator (fast clock)
    .dco_clk           (dco_clk),      // Fast oscillator (fast clock)
    .dmem_dout         (dmem_dout),    // Data Memory data output
    .dmem_dout         (dmem_dout),    // Data Memory data output
    .irq               (irq_bus),      // Maskable interrupts
    .irq               (irq_bus),      // Maskable interrupts
    .lfxt_clk          (1'b0),         // Low frequency oscillator (typ 32kHz)
    .lfxt_clk          (1'b0),         // Low frequency oscillator (typ 32kHz)
 
    .dma_addr          (15'h0000),     // Direct Memory Access address
 
    .dma_din           (16'h0000),     // Direct Memory Access data input
 
    .dma_en            (1'b0),         // Direct Memory Access enable (high active)
 
    .dma_priority      (1'b0),         // Direct Memory Access priority (0:low / 1:high)
 
    .dma_we            (2'b00),        // Direct Memory Access write byte enable (high active)
 
    .dma_wkup          (1'b0),         // ASIC ONLY: DMA Sub-System Wake-up (asynchronous and non-glitchy)
    .nmi               (nmi),          // Non-maskable interrupt (asynchronous)
    .nmi               (nmi),          // Non-maskable interrupt (asynchronous)
    .per_dout          (per_dout),     // Peripheral data output
    .per_dout          (per_dout),     // Peripheral data output
    .pmem_dout         (pmem_dout),    // Program Memory data output
    .pmem_dout         (pmem_dout),    // Program Memory data output
    .reset_n           (reset_n),      // Reset Pin (low active, asynchronous and non-glitchy)
    .reset_n           (reset_n),      // Reset Pin (low active, asynchronous and non-glitchy)
    .scan_enable       (1'b0),         // ASIC ONLY: Scan enable (active during scan shifting)
    .scan_enable       (1'b0),         // ASIC ONLY: Scan enable (active during scan shifting)
Line 526... Line 535...
assign  led     =  {cntrl1, p1_dout[0], p1_dout[0], cntrl2};
assign  led     =  {cntrl1, p1_dout[0], p1_dout[0], cntrl2};
 
 
 
 
endmodule // openMSP430_fpga
endmodule // openMSP430_fpga
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.