OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [scratch.vhd] - Diff between revs 21 and 22

Show entire file | Details | Blame | View Log

Rev 21 Rev 22
Line 157... Line 157...
                                        if (busy_n = '1') then
                                        if (busy_n = '1') then
                                                dram_driver_state <= STATE1;
                                                dram_driver_state <= STATE1;
                                        end if;
                                        end if;
 
 
                                when STATE1 =>
                                when STATE1 =>
 
                                        op <= "10";
                                        addr <= "0000000000"& x"6001";
                                        addr <= "0000000000"& x"6001";
                                        data_i <= "11110001";
                                        data_i <= "11110001";
                                        op <= "10";
 
                                        if (op_ack = '1') then
                                        if (op_ack = '1') then
                                                dram_driver_state <= STATE2;
                                                dram_driver_state <= STATE2;
                                        end if;
                                        end if;
 
 
                                when STATE2 =>
                                when STATE2 =>
                                        op <= "00";
 
                                        if (busy_n = '1') then
                                        if (busy_n = '1') then
                                                dram_driver_state <= STATE3;
                                                dram_driver_state <= STATE3;
                                        end if;
                                        end if;
 
 
                                when STATE3 =>
                                when STATE3 =>
                                        addr <= "0000000000" & x"6001";
 
                                        op <= "01";
                                        op <= "01";
 
                                        addr <= "0000000000" & x"6001";
                                        if (op_ack = '1') then
                                        if (op_ack = '1') then
                                                dram_driver_state <= STATE4;
                                                dram_driver_state <= STATE4;
                                        end if;
                                        end if;
 
 
                                when STATE4 =>
                                when STATE4 =>
                                        op <= "00";
 
                                        if (busy_n = '1') then
                                        if (busy_n = '1') then
                                                dram_driver_state <= STATE5;
                                                dram_driver_state <= STATE5;
                                        end if;
                                        end if;
 
 
                                when STATE5 =>
                                when STATE5 =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.