OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [sdram.vhd] - Diff between revs 17 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 18
Line 471... Line 471...
 
 
        -- this will probably make the synthesizer scream bloody murder
        -- this will probably make the synthesizer scream bloody murder
        -- over either a transparent latch or gated clock or both
        -- over either a transparent latch or gated clock or both
        -- but i've got it working again with my SoC and I'll see about
        -- but i've got it working again with my SoC and I'll see about
        -- changing it back to something less icky later
        -- changing it back to something less icky later
 
        --
        -- capture addr, data_i and op for the cmd fsm
        -- capture addr, data_i and op for the cmd fsm
        -- op needs to be captured during AR or it might get dropped
        -- op needs to be captured during AR or it might get dropped
        addr_save  <= addr   when cap_en = '1' else addr_save;
        addr_save  <= addr   when cap_en = '1' else addr_save;
        datai_save <= data_i when cap_en = '1' else datai_save;
        datai_save <= data_i when cap_en = '1' else datai_save;
        op_save    <= op     when cap_en = '1' else op_save;
        op_save    <= op     when cap_en = '1' else op_save;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.