OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [sdram.vhd] - Diff between revs 18 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 456... Line 456...
 
 
        debug_reg <= x"00";
        debug_reg <= x"00";
        dram_cs <= '0';
        dram_cs <= '0';
        data_o <= data1_o when addr_save(0) = '1' else data0_o;
        data_o <= data1_o when addr_save(0) = '1' else data0_o;
 
 
--      process (clk_000)
 
--      begin
 
--              if (cap_en = '1') then
 
--                      if (rising_edge(clk_000)) then
 
--                              addr_save <= addr;
 
--                              datai_save <= data_i;
 
--                              op_save <= op;
 
--                      end if;
 
--              end if;
 
--      end process;
 
 
 
        -- this will probably make the synthesizer scream bloody murder
 
        -- over either a transparent latch or gated clock or both
 
        -- but i've got it working again with my SoC and I'll see about
 
        -- changing it back to something less icky later
 
        --
 
        -- capture addr, data_i and op for the cmd fsm
        -- capture addr, data_i and op for the cmd fsm
        -- op needs to be captured during AR or it might get dropped
        -- op needs to be captured during AR or it might get dropped
        addr_save  <= addr   when cap_en = '1' else addr_save;
        process (clk_000)
        datai_save <= data_i when cap_en = '1' else datai_save;
        begin
        op_save    <= op     when cap_en = '1' else op_save;
                if (cap_en = '1') then
 
                        if (rising_edge(clk_000)) then
 
                                addr_save <= addr;
 
                                datai_save <= data_i;
 
                                op_save <= op;
 
                        end if;
 
                end if;
 
        end process;
 
 
        -- command state machine
        -- command state machine
        process (clk_000)
        process (clk_000)
        begin
        begin
                if (rising_edge(clk_000)) then
                if (rising_edge(clk_000)) then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.