OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [sdram_reader.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 35... Line 35...
--  with the ODDR2 primitives. I decided the ODDR2s were more important to keep.
--  with the ODDR2 primitives. I decided the ODDR2s were more important to keep.
-- I'm just capturing the front side of the burst, and letting the back side of the burst fall on the
-- I'm just capturing the front side of the burst, and letting the back side of the burst fall on the
--  floor. If you want to support both sides of the 2 burst or bigger bursts, you'll need to rework this.
--  floor. If you want to support both sides of the 2 burst or bigger bursts, you'll need to rework this.
entity sdram_reader is
entity sdram_reader is
        port(
        port(
                clk000 : in std_logic;
 
                clk270 : in std_logic;
                clk270 : in std_logic;
                rst    : in std_logic;
                rst    : in std_logic;
                dq     : in std_logic_vector(15 downto 0);
                dq     : in std_logic_vector(15 downto 0);
                data0  : out std_logic_vector(7 downto 0);
                data0  : out std_logic_vector(7 downto 0);
                data1  : out std_logic_vector(7 downto 0)
                data1  : out std_logic_vector(7 downto 0)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.