OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [bfms/] [io_probe/] [rtl/] [xml/] [io_probe_def.xml] - Diff between revs 134 and 135

Show entire file | Details | Blame | View Log

Rev 134 Rev 135
Line 25... Line 25...
//   You should have received a copy of the GNU Lesser General            //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
//                                                                        //
-->
-->
 
 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
 
opencores.org
opencores.org
Testbench
Testbench
io_probe
io_probe
def  default
def
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
  gen_verilog_sim
  gen_verilog_sim
  104.0
  104.0
  none
  none
  :*Simulation:*
  :*Simulation:*
  ./tools/verilog/gen_verilog
  tools/verilog/gen_verilog
    
    
    
    
      destination
      destination
      io_probe_def
      io_probe_def
    
    
  
  
 
 
 
 
 
 
 
 
  gen_verilog_syn
  gen_verilog_syn
  104.0
  104.0
  none
  none
  :*Synthesis:*
  :*Synthesis:*
  ./tools/verilog/gen_verilog
  tools/verilog/gen_verilog
    
    
    
    
      destination
      destination
      io_probe_def
      io_probe_def
    
    
  
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
       
 
 
 
 
 
 
 
            
 
              verilog
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="verilog"/>
 
              
 
              
 
 
 
 
                
 
                        
 
                                verilog
 
                                verilog
 
                                io_probe_def
 
                                
 
                                        
 
                                                WIDTH
 
                                                1
 
                                        
 
                                
 
                                
 
                                        fs-sim
 
                                
 
                        
 
                
 
 
 
 
 
 
 
 
              
 
              sim:*Simulation:*
 
 
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
              
       
              syn:*Synthesis:*
 
 
 
              Verilog
 
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
 
 
 
              
                        
              doc
                                rtl
              
                                verilog:Kactus2:
              
                                verilog
                                   spirit:library="Testbench"
                        
                                   spirit:name="toolflow"
 
                                   spirit:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
      
 
 
 
 
            
 
              verilog
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="verilog"/>
 
              
 
              
 
 
 
 
 
 
MESG" "
 
WIDTH1
 
RESET{WIDTH{1'bz}}
 
IN_DELAY5
 
OUT_DELAY15
 
OUT_WIDTH10
 
 
 
 
 
 
 
 
 
clk
 
wire
 
in
 
 
 
 
 
 
              
 
              sim:*Simulation:*
 
 
drive_value
              Verilog
wire
              
in
                     
WIDTH-10
                            fs-sim
 
                     
 
              
 
 
 
              
 
              syn:*Synthesis:*
 
 
expected_value
              Verilog
wire
              
in
                     
WIDTH-10
                            fs-syn
 
                     
 
              
 
 
mask
 
wire
 
in
 
WIDTH-10
 
 
 
 
 
 
              
 
              doc
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
signal
      
wire
 
inout
 
WIDTH-10
 
 
 
 
 
 
 
 
 
 
 
 
MESG" "
 
WIDTH1
 
RESET{WIDTH{1'bz}}
 
IN_DELAY5
 
OUT_DELAY15
 
OUT_WIDTH10
 
 
 
 
 
 
 
 
 
clk
 
wire
 
in
 
 
 
 
 
 
 
drive_value
 
wire
 
in
 
WIDTH-10
 
 
 
 
 
 
  
expected_value
 
wire
 
in
 
WIDTH-10
 
 
 
 
    
mask
      fs-sim
wire
 
in
 
WIDTH-10
 
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
      
signal
        
wire
        ../verilog/sim/io_probe_def
inout
        verilogSourcemodule
WIDTH-10
      
 
 
 
 
 
      
 
        
 
        ../verilog/top.body
 
        verilogSourcefragment
 
      
 
 
 
      
 
        dest_dir../views/sim/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
    
 
 
 
 
  
 
 
    
    
      fs-syn
      fs-sim
 
 
      
      
        
        
        ../verilog/copyright
        ../verilog/copyright
        verilogSourceinclude
        verilogSourceinclude
      
      
 
 
      
      
        
        
        ../verilog/syn/io_probe_def
        ../verilog/sim/io_probe_def
        verilogSourcemodule
        verilogSourcemodule
      
      
 
 
      
 
        dest_dir../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
      
 
        
 
        ../verilog/top.body
 
        verilogSourcefragment
 
      
 
 
 
      
 
        dest_dir../views/sim/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
    
 
 
 
 
 
 
 
 
    
 
 
 
 
  
    
 
      fs-syn
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
      
 
        
 
        ../verilog/syn/io_probe_def
 
        verilogSourcemodule
 
      
 
 
 
      
 
        dest_dir../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
 
 
 
 
 
    
 
 
 
 
 
 
 
 
 
 
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.