OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [jtag/] [rtl/] [xml/] [cde_jtag_tap.xml] - Diff between revs 134 and 135

Show entire file | Details | Blame | View Log

Rev 134 Rev 135
Line 1... Line 1...
 
 
 
 
 
 
-->
-->
 
 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
 
opencores.org
opencores.org
cde
cde
jtag
jtag
tap  default
tap
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 jtag
 
  
 
  
 
    
 
 
 
      
  
        test_logic_reset
  jtag
        test_logic_reset_o
  
        reg
  
        
      
      
  
 
 
      
 
        capture_dr
 
        
 
        capture_dr_o
 
        reg
 
        
 
      
 
 
 
      
    
        shift_dr
 
        
 
        shift_dr_o
 
        reg
 
        
 
      
 
 
 
      
      
        update_dr_clk
        test_logic_reset
        update_dr_clk_o
        test_logic_reset_o
        wire
      
       
 
      
 
 
 
      
      
        tdi
        capture_dr
        tdi_o
        capture_dr_o
       wire
      
      
 
      
 
 
 
      
      
      tdo
        shift_dr
      
        
      tdo_i
        shift_dr_o
      
        
      
      
      
 
 
 
      
      
      select
        update_dr_clk
      
        update_dr_clk_o
      select_o
       
      
      
      
 
      
 
 
 
      
      
      shiftcapture_dr_clk
        tdi
      
        tdi_o
      shiftcapture_dr_clk_o
      
      
      
      
 
      
 
 
 
    
      
 
      tdo
 
      
 
      tdo_i
 
      
 
      
 
 
 
      
 
      select
 
      
 
      select_o
 
      
 
      
 
 
 aux_jtag
      
  
      shiftcapture_dr_clk
  
      
    
      shiftcapture_dr_clk_o
 
      
 
      
 
 
      
    
        test_logic_reset
 
        aux_test_logic_reset_o
 
        wire
 
        
 
      
 
 
 
      
 
        capture_dr
 
        
 
        aux_capture_dr_o
 
        wire
 
        
 
      
 
 
 
      
        
        shift_dr
      
        
 
        aux_shift_dr_o
 
        wire
 
        
 
      
 
 
 
      
 
        update_dr_clk
 
        aux_update_dr_clk_o
 
        wire
 
       
 
      
 
 
 
      
 
        tdi
 
        aux_tdi_o
 
       wire
 
      
 
      
 
 
 
      
  
      tdo
 
      
 
      aux_tdo_i
 
      
 
      
 
      
 
 
 
      
  
      select
 
      
 
      aux_select_o
 
      
 
      
 
      
 
 
 
      
 
      shiftcapture_dr_clk
 
      
 
      aux_shiftcapture_dr_clk_o
 
      
 
      
 
      
 
 
 
    
 
 
   aux_jtag
 
  
 
  
 
      
 
  
 
 
 
    
 
 
 
      
 
        test_logic_reset
 
        aux_test_logic_reset_o
 
        
 
      
 
 
 
      
 
        capture_dr
 
        
 
        aux_capture_dr_o
 
        
 
      
 
 
 tclk_pad
      
  
        shift_dr
  
        
  
        aux_shift_dr_o
    
        
      
      
        pad_in
 
        tclk_pad_in
 
      
 
 
 
    
      
 
        update_dr_clk
 
        aux_update_dr_clk_o
 
       
 
      
 
 
 
      
 
        tdi
 
        aux_tdi_o
 
      
 
      
 
 
 tdi_pad
      
  
      tdo
  
      
  
      aux_tdo_i
    
      
      
      
        pad_in
 
        tdi_pad_in
 
      
 
 
 
    
      
 
      select
 
      
 
      aux_select_o
 
      
 
      
 
 
 
      
 
      shiftcapture_dr_clk
 
      
 
      aux_shiftcapture_dr_clk_o
 
      
 
      
 
 
 tms_pad
    
  
 
  
 
  
 
    
 
      
 
        pad_in
 
        tms_pad_in
 
      
 
 
 
    
 
 
 
 
 
 
        
 
      
 
 
 trst_n_pad
 
  
 
  
 
  
 
    
 
      
 
        pad_in
 
        trst_n_pad_in
 
      
 
 
 
    
 
 
 
 
 
 
 
 
  
 
 
 
 
 tdo_pad
  
  
 
  
 
  
 
    
 
      
 
        pad_out
 
        tdo_pad_out
 
      
 
      
 
        pad_oe
 
        tdo_pad_oe
 
      
 
 
 
    
 
 
 
 
 
 
 
 
 
 
 
 
 tclk_pad
 
  
 
  
 
      
 
  
 
 
 
 
 
    
 
      
 
        pad_in
 
        tclk_pad_in
 
      
 
 
 
    
 
 
 
        
 
      
 
 
 
 
 
 
 
  
 
 
 
  
 
 
 
 
 
 
 
 tdi_pad
 
  
 
  
 
      
 
  
 
    
 
      
 
        pad_in
 
        tdi_pad_in
 
      
 
 
 
    
 
 
 
        
 
      
 
 
 
 
  gen_verilog
 
  104.0
 
  none
 
  common
 
  ./tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      jtag_tap
 
    
 
  
 
 
 
 
 
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 tms_pad
 
  
 
  
 
      
 
  
 
    
 
      
 
        pad_in
 
        tms_pad_in
 
      
 
 
 
    
 
        
 
      
 
  
 
 
 
  
 
 
 
 
 
 trst_n_pad
 
  
 
 
 
  
 
      
 
  
 
 
 
 
 
    
 
      
 
        pad_in
 
        trst_n_pad_in
 
      
 
 
 
    
 
 
 
        
       
  
 
 
              
 
              Hierarchical
 
              
 
                                   spirit:library="cde"
 
                                   spirit:name="jtag"
 
                                   spirit:version="tap.design"/>
 
              
 
 
 
              
 
              verilog
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="verilog"/>
 
              
 
              
 
 
 
 
  
 
 
 
  
 
 
              
 
              commoncommon
 
              Verilog
 
              
 
                     
 
                            fs-common
 
                     
 
              
 
 
 
 
 
 
 
              
 tdo_pad
              sim:*Simulation:*
  
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
              
  
              syn:*Synthesis:*
      
              Verilog
  
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
 
 
    
 
      
 
        pad_out
 
        tdo_pad_out
 
      
 
      
 
        pad_oe
 
        tdo_pad_oe
 
      
 
 
 
    
 
 
 
 
 
        
 
  
 
 
             
 
              doc
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
 
 
 
  
 
 
 
 
 
  
 
 
 
 
      
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
   
 
      fs-common
 
 
 
      
 
        
 
        ../verilog/tap
 
        verilogSourcefragment
 
      
 
 
 
 
 
 
 
 
 
 
 
 
  gen_verilog
 
  104.0
 
  none
 
  :*common:*
 
  tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      jtag_tap
 
    
 
  
 
 
 
 
   
 
 
 
 
 
 
 
 
 
 
 
   
 
      fs-sim
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
 
 
      
 
        
 
        ../verilog/common/jtag_tap
 
        verilogSourcemodule
 
      
 
 
 
      
 
        dest_dir
 
        ../views/sim/
 
        verilogSourcelibraryDir
 
      
 
 
 
   
 
 
 
 
 
   
 
      fs-syn
 
 
 
      
 
        
 
        ../verilog/SYNTHESYS
 
        verilogSourceinclude
 
      
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
 
 
 
 
 
 
      
 
        
 
        ../verilog/common/jtag_tap
 
        verilogSourcemodule
 
      
 
 
 
 
 
      
 
        dest_dir
 
        ../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
 
   
 
 
 
 
                
 
                        
 
                                Hierarchical
 
                                
 
                        
 
                
 
 
    
 
 
 
      fs-lint
 
      
 
        dest_dir
 
        ../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
    
 
 
 
 
  
 
 
 
              
 
              Hierarchical
 
              Hierarchical
 
              
 
 
 
              
 
              verilog
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="verilog"/>
 
              
 
              
 
 
 
 
 
 
 
 
 
              
 
              common:*common:*
 
              Verilog
 
              
 
                     
 
                            fs-common
 
                     
 
              
 
 
 
 
INST_LENGTH4
 
INST_RETURN4'b1101
 
INST_RESET4'b1111
 
CHIP_ID_VAL32'h00000000
 
NUM_USER2
 
EXTEST4'b0000
 
USER8'b1010_1001
 
SAMPLE4'b0001
 
HIGHZ_MODE4'b0010
 
CHIP_ID_ACCESS4'b0011
 
CLAMP4'b1000
 
RPC_DATA4'b1010
 
RPC_ADD4'b1001
 
BYPASS4'b1111
 
 
 
 
 
 
              
 
              sim:*Simulation:*
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
              
 
              syn:*Synthesis:*
 
              Verilog
 
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
 
 
 
 
 
 
 
 
 
 
tap_highz_mode
             
reg
              doc
out
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
bsr_output_mode
 
reg
 
out
 
 
 
 
 
bsr_tdo_i
 
wire
 
in
 
 
 
 
 
jtag_clk
 
wire
 
out
 
 
 
 
 
update_dr_o
 
reg
 
out
 
 
 
 
 
bsr_select_o
 
wire
 
out
 
 
 
 
 
 
      
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
INST_LENGTH4
 
INST_RETURN4'b1101
 
INST_RESET4'b1111
 
CHIP_ID_VAL32'h00000000
 
NUM_USER2
 
EXTEST4'b0000
 
USER8'b1010_1001
 
SAMPLE4'b0001
 
HIGHZ_MODE4'b0010
 
CHIP_ID_ACCESS4'b0011
 
CLAMP4'b1000
 
RPC_DATA4'b1010
 
RPC_ADD4'b1001
 
BYPASS4'b1111
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
tclk_pad_in
 
wire
 
in
 
 
 
 
 
tdi_pad_in
 
wire
 
in
 
 
 
 
 
 
 
tms_pad_in
 
wire
 
in
 
 
 
 
 
 
 
trst_n_pad_in
 
wire
 
in
 
 
 
 
 
 
 
tdo_pad_out
 
wire
 
out
 
 
 
 
 
 
 
tdo_pad_oe
 
wire
 
out
 
 
 
 
 
 
 
 
 
 
 
test_logic_reset_o
 
wire
 
out
 
 
 
 
 
 
 
 
 
capture_dr_o
 
wire
 
out
 
 
 
 
 
 
 
shift_dr_o
 
wire
 
out
 
 
 
 
 
update_dr_clk_o
 
wire
 
out
 
 
 
 
 
tdi_o
 
wire
 
out
 
 
 
 
 
 
 
select_o
 
wire
 
out
 
 
 
 
 
 
 
shiftcapture_dr_clk_o
 
wire
 
out
 
 
 
 
 
 
 
tdo_i
 
wire
 
in
 
 
 
 
 
 
 
 
 
aux_tdo_i
 
wire
 
in
 
 
 
 
 
 
 
aux_test_logic_reset_o
 
wire
 
out
 
 
 
 
 
aux_capture_dr_o
 
wire
 
out
 
 
 
 
 
 
 
aux_shift_dr_o
 
wire
 
out
 
 
 
 
 
aux_update_dr_clk_o
 
wire
 
out
 
 
 
 
 
aux_tdi_o
 
wire
 
out
 
 
 
 
 
 
 
aux_select_o
 
wire
 
out
 
 
 
 
 
 
 
aux_shiftcapture_dr_clk_o
 
wire
 
out
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
tap_highz_mode
 
wire
 
out
 
 
 
 
 
bsr_output_mode
 
wire
 
out
 
 
 
 
 
bsr_tdo_i
 
wire
 
in
 
 
 
 
 
jtag_clk
 
wire
 
out
 
 
 
 
 
update_dr_o
 
wire
 
out
 
 
 
 
 
bsr_select_o
 
wire
 
out
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
   
 
      fs-common
 
 
 
 
 
   
 
 
 
 
 
 
 
 
 
   
 
      fs-sim
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
 
 
      
 
        
 
        ../verilog/common/jtag_tap
 
        verilogSourcemodule
 
      
 
 
 
      
 
        dest_dir
 
        ../views/sim/
 
        verilogSourcelibraryDir
 
      
 
 
 
   
 
 
 
 
 
   
 
      fs-syn
 
 
 
      
 
        
 
        ../verilog/SYNTHESIS
 
        verilogSourceinclude
 
      
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
 
 
 
 
      
 
        
 
        ../verilog/common/jtag_tap
 
        verilogSourcemodule
 
      
 
 
 
 
 
      
 
        dest_dir
 
        ../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
 
 
   
 
 
 
 
 
    
 
 
 
      fs-lint
 
      
 
        dest_dir
 
        ../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
    
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.