OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [compiler/] [src/] [vp_compiler/] [Instruction.h] - Diff between revs 216 and 230

Show entire file | Details | Blame | View Log

Rev 216 Rev 230
Line 90... Line 90...
        EOPERATION_ADD=1,
        EOPERATION_ADD=1,
        EOPERATION_DIV,
        EOPERATION_DIV,
        EOPERATION_MUL,
        EOPERATION_MUL,
        EOPERATION_SQRT,
        EOPERATION_SQRT,
        EOPERATION_LOGIC,
        EOPERATION_LOGIC,
        EOPERATION_OUT
        EOPERATION_IO
 
 
} EOPERATION;
} EOPERATION;
 
 
 
 
typedef enum
typedef enum
Line 105... Line 105...
ELOGIC_SHL,
ELOGIC_SHL,
ELOGIC_SHR
ELOGIC_SHR
 
 
}       ELOGIC_OPERATION;
}       ELOGIC_OPERATION;
 
 
 
 
 
typedef enum
 
{
 
        EIO_OMWRITE,
 
        EIO_TMREAD,
 
        EIO_MAILBOX,
 
 
 
} EIO_OPERATION;
 
 
 
 
typedef enum
typedef enum
{
{
        EROT_NONE = 0,
        EROT_NONE = 0,
        EROT_SRC0_LEFT =1,
        EROT_SRC0_LEFT =1,
        EROT_SRC1_LEFT =2,
        EROT_SRC1_LEFT =2,
Line 152... Line 162...
        void SetImmBit( bool aImm );
        void SetImmBit( bool aImm );
        void SetDestZero( bool aZero );
        void SetDestZero( bool aZero );
        void SetSrc0Displace( bool aDisplace );
        void SetSrc0Displace( bool aDisplace );
        void SetSrc1Displace( bool aDisplace );
        void SetSrc1Displace( bool aDisplace );
        void SetLogicOperation(ELOGIC_OPERATION aOperation );
        void SetLogicOperation(ELOGIC_OPERATION aOperation );
 
        void SetIOOperation( EIO_OPERATION aOperation );
        void SetAddressingMode( bool, bool, bool  );
        void SetAddressingMode( bool, bool, bool  );
 
 
        void SetEofFlag( bool aEof );
        void SetEofFlag( bool aEof );
        void SetWriteChannel( ECHANNEL aChannel );
        void SetWriteChannel( ECHANNEL aChannel );
        void ClearWriteChannel();
        void ClearWriteChannel();

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.