OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [rtl/] [Module_RadixRMul.v] - Diff between revs 213 and 230

Show entire file | Details | Blame | View Log

Rev 213 Rev 230
Line 66... Line 66...
(
(
        input wire Clock,
        input wire Clock,
        input wire Reset,
        input wire Reset,
        input wire[31:0] A,
        input wire[31:0] A,
        input wire[31:0] B,
        input wire[31:0] B,
        output wire[63:0] R,
        output wire[31:0] R,                     //Warning, this sould be 64 bis as in Theia v1.0, I am loosing lots of precision in here!
        input wire iUnscaled,
        input wire iUnscaled,
        input wire iInputReady,
        input wire iInputReady,
        output wire OutputReady
        output wire OutputReady
 
 
 
 
Line 333... Line 333...
assign R_pre1 = (iUnscaled == 1) ? (wPartialResult3_0 + wPartialResult3_1) : ((wPartialResult3_0 + wPartialResult3_1) >> `SCALE);
assign R_pre1 = (iUnscaled == 1) ? (wPartialResult3_0 + wPartialResult3_1) : ((wPartialResult3_0 + wPartialResult3_1) >> `SCALE);
 
 
assign R_pre2 = ( (SignA ^ SignB) == 1) ? ~R_pre1 + 1'b1 : R_pre1;
assign R_pre2 = ( (SignA ^ SignB) == 1) ? ~R_pre1 + 1'b1 : R_pre1;
 
 
//assign R = R_pre2 >> `SCALE;
//assign R = R_pre2 >> `SCALE;
assign R = R_pre2;
assign R = R_pre2[31:0];
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.