OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [rtl/] [Module_VectorProcessor.v] - Diff between revs 213 and 230

Show entire file | Details | Blame | View Log

Rev 213 Rev 230
Line 36... Line 36...
        input wire [`WB_WIDTH-1:0]          MCU_DAT_I,
        input wire [`WB_WIDTH-1:0]          MCU_DAT_I,
        input wire [`WB_WIDTH-1:0]          MCU_ADR_I,
        input wire [`WB_WIDTH-1:0]          MCU_ADR_I,
        output wire                         MCU_ACK_O,
        output wire                         MCU_ACK_O,
        output wire                         OMEM_WE,
        output wire                         OMEM_WE,
        output wire [`WB_WIDTH-1:0]              OMEM_ADDR,
        output wire [`WB_WIDTH-1:0]              OMEM_ADDR,
        output wire [`WB_WIDTH-1:0]         OMEM_DATA
        output wire [`WB_WIDTH-1:0]         OMEM_DATA,
 
        input wire                          TMEM_ACK_I,
 
   input wire [`WB_WIDTH-1:0]          TMEM_DAT_I ,
 
   output wire [`WB_WIDTH-1:0]         TMEM_ADR_O ,
 
   output wire                         TMEM_WE_O,
 
   output wire                         TMEM_STB_O,
 
   output wire                         TMEM_CYC_O,
 
   input wire                          TMEM_GNT_I
 
 
 
 
 
 
);
);
wire [`INSTRUCTION_ADDR_WIDTH-1:0] wIO_2_MEM__InstructionWriteAddress;
wire [`INSTRUCTION_ADDR_WIDTH-1:0] wIO_2_MEM__InstructionWriteAddress;
Line 50... Line 57...
wire [`DATA_ROW_WIDTH-1:0]         wEXE_2_IO__OMEM_WriteAddress;
wire [`DATA_ROW_WIDTH-1:0]         wEXE_2_IO__OMEM_WriteAddress;
wire [`DATA_ROW_WIDTH-1:0]         wEXE_2_IO__OMEM_WriteData;
wire [`DATA_ROW_WIDTH-1:0]         wEXE_2_IO__OMEM_WriteData;
wire                               wEXE_2_IO__OMEM_WriteEnable;
wire                               wEXE_2_IO__OMEM_WriteEnable;
 
 
 
 
 
wire [`DATA_ROW_WIDTH-1:0] wEXE_2__IO_TMEMAddress;
 
wire [`DATA_ROW_WIDTH-1:0] wIO_2_EXE__TMEMData;
 
wire wIO_2_EXE__DataAvailable;
 
wire wEXE_2_IO__DataRequest;
 
 
ControlUnit CONTROL
ControlUnit CONTROL
(
(
.Clock(       Clock                  ),
.Clock(       Clock                  ),
.Reset(       Reset                  ),
.Reset(       Reset                  ),
.iCpCommand(  iCpCommand             ),
.iCpCommand(  iCpCommand             ),
Line 87... Line 99...
.iOMEM_WriteAddress(          wEXE_2_IO__OMEM_WriteAddress     ),
.iOMEM_WriteAddress(          wEXE_2_IO__OMEM_WriteAddress     ),
.iOMEM_WriteData(             wEXE_2_IO__OMEM_WriteData        ),
.iOMEM_WriteData(             wEXE_2_IO__OMEM_WriteData        ),
.iOMEM_WriteEnable(           wEXE_2_IO__OMEM_WriteEnable      ),
.iOMEM_WriteEnable(           wEXE_2_IO__OMEM_WriteEnable      ),
.OMEM_DAT_O(                  OMEM_DATA                        ),
.OMEM_DAT_O(                  OMEM_DATA                        ),
.OMEM_ADR_O(                  OMEM_ADDR                        ),
.OMEM_ADR_O(                  OMEM_ADDR                        ),
.OMEM_WE_O(                   OMEM_WE                          )
.OMEM_WE_O(                   OMEM_WE                          ),
 
 
 
 
 
 .oTMEMReadData(      wIO_2_EXE__TMEMData      ),
 
 .iTMEMDataRequest(   wEXE_2_IO__DataRequest   ),
 
 .iTMEMReadAddress(   wEXE_2__IO_TMEMAddress   ),
 
 .oTMEMDataAvailable( wIO_2_EXE__DataAvailable ),
 
 
 
.TMEM_ACK_I( TMEM_ACK_I ),
 
.TMEM_DAT_I( TMEM_DAT_I ),
 
.TMEM_ADR_O( TMEM_ADR_O ),
 
.TMEM_WE_O(  TMEM_WE_O  ),
 
.TMEM_STB_O( TMEM_STB_O ),
 
.TMEM_CYC_O( TMEM_CYC_O ),
 
.TMEM_GNT_I( TMEM_GNT_I )
 
 
);
);
 
 
Unit_Execution EXE
Unit_Execution EXE
(
(
Line 103... Line 127...
.iInstructionMem_WriteAddress( wIO_2_MEM__InstructionWriteAddress    ),
.iInstructionMem_WriteAddress( wIO_2_MEM__InstructionWriteAddress    ),
.iInstructionMem_WriteEnable(  wIO_2_MEM__InstructionWriteEnable     ),
.iInstructionMem_WriteEnable(  wIO_2_MEM__InstructionWriteEnable     ),
.iInstructionMem_WriteData(    wIO_2_MEM__Instruction                ),
.iInstructionMem_WriteData(    wIO_2_MEM__Instruction                ),
.oOMEMWriteAddress(            wEXE_2_IO__OMEM_WriteAddress          ),
.oOMEMWriteAddress(            wEXE_2_IO__OMEM_WriteAddress          ),
.oOMEMWriteData(               wEXE_2_IO__OMEM_WriteData             ),
.oOMEMWriteData(               wEXE_2_IO__OMEM_WriteData             ),
.oOMEMWriteEnable(             wEXE_2_IO__OMEM_WriteEnable           )
.oOMEMWriteEnable(             wEXE_2_IO__OMEM_WriteEnable           ),
 
 
 
 
 
.oTMEMReadAddress(   wEXE_2__IO_TMEMAddress   ),
 
.iTMEMReadData(      wIO_2_EXE__TMEMData      ),
 
.iTMEMDataAvailable( wIO_2_EXE__DataAvailable ),
 
.oTMEMDataRequest(   wEXE_2_IO__DataRequest   )
 
 
);
);
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.