OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [run.do] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 6... Line 6...
#vlog -novopt agent/agent_pkg.sv +incdir+agent/
#vlog -novopt agent/agent_pkg.sv +incdir+agent/
 
 
 
 
#vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+../../../uvm-1.2/src/
#vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+../../../uvm-1.2/src/
 
 
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor +incdir+agent/coverage
 
 
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis
#vlog -novopt env/env_pkg.sv +incdir+env +incdir+../../../uvm-1.2/src/
#vlog -novopt env/env_pkg.sv +incdir+env +incdir+../../../uvm-1.2/src/
 
 
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../
Line 26... Line 26...
vlog ../rtl/uart2bus_top.v +incdir+../rtl
vlog ../rtl/uart2bus_top.v +incdir+../rtl
 
 
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl
#vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+../../../uvm-1.2/src/
#vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+../../../uvm-1.2/src/
 
 
vsim -novopt uart_top_tb
vsim -novopt +coverage uart_top_tb
 
#vsim -novopt uart_top_tb +UVM_TIMEOUT=50,'NO'
 
#vsim -novopt uart_top_tb +uvm_set_severity=uart_scoreboard,uart_s,UVM_LOW,UVM_LOW
view wave
view wave
 
 
add wave  \
add wave  \
sim:/uart_top_tb/uart_inf/ser_in \
sim:/uart_top_tb/uart_inf/ser_in \
sim:/uart_top_tb/uart_inf/ser_out \
sim:/uart_top_tb/uart_inf/ser_out \
sim:/uart_top_tb/uart_inf/serial_out \
 
sim:/uart_top_tb/serial_out \
 
sim:/uart_top_tb/uart_inf/clock \
sim:/uart_top_tb/uart_inf/clock \
sim:/uart_top_tb/uart_inf/start_trans \
sim:/uart_top_tb/uart_inf/start_trans \
sim:/uart_top_tb/rf_inf/int_address \
sim:/uart_top_tb/rf_inf/int_address \
sim:/uart_top_tb/rf_inf/int_wr_data \
sim:/uart_top_tb/rf_inf/int_wr_data \
sim:/uart_top_tb/rf_inf/int_write \
sim:/uart_top_tb/rf_inf/int_write \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.