OpenCores
URL https://opencores.org/ocsvn/viterbi_decoder_axi4s/viterbi_decoder_axi4s/trunk

Subversion Repositories viterbi_decoder_axi4s

[/] [viterbi_decoder_axi4s/] [trunk/] [src/] [traceback.vhd] - Diff between revs 2 and 6

Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 1... Line 1...
--!
--!
--! Copyright (C) 2011 - 2012 Creonic GmbH
--! Copyright (C) 2011 - 2014 Creonic GmbH
--!
--!
--! This file is part of the Creonic Viterbi Decoder, which is distributed
--! This file is part of the Creonic Viterbi Decoder, which is distributed
--! under the terms of the GNU General Public License version 2.
--! under the terms of the GNU General Public License version 2.
--!
--!
--! @file
--! @file
Line 47... Line 47...
 
 
architecture rtl of trellis_traceback is
architecture rtl of trellis_traceback is
 
 
        signal current_node               : unsigned(BW_TRELLIS_STATES - 1 downto 0);
        signal current_node               : unsigned(BW_TRELLIS_STATES - 1 downto 0);
        signal m_axis_output_tvalid_int   : std_logic;
        signal m_axis_output_tvalid_int   : std_logic;
        signal s_axis_input_last_tuser_d1 : std_logic;
 
        signal s_axis_input_tready_int    : std_logic;
        signal s_axis_input_tready_int    : std_logic;
 
 
begin
begin
        s_axis_input_tready_int <= '1' when m_axis_output_tready = '1' or m_axis_output_tvalid_int = '0' else
        s_axis_input_tready_int <= '1' when m_axis_output_tready = '1' or m_axis_output_tvalid_int = '0' else
                                   '0';
                                   '0';
        s_axis_input_tready <= s_axis_input_tready_int;
        s_axis_input_tready <= s_axis_input_tready_int;
 
 
        m_axis_output_tvalid <= m_axis_output_tvalid_int;
        m_axis_output_tvalid <= m_axis_output_tvalid_int;
 
 
 
 
        -- Traceback the ACS local path decisions and output the resulting global path.
        -- Traceback the ACS local path decisions and output the resulting global path.
        pr_traceback : process(clk) is
        pr_traceback : process(clk) is
        begin
        begin
        if rising_edge(clk) then
        if rising_edge(clk) then
                if rst = '1' then
                if rst = '1' then
                        m_axis_output_tvalid_int   <= '0';
                        m_axis_output_tvalid_int   <= '0';
                        m_axis_output_tdata        <= '0';
                        m_axis_output_tdata        <= '0';
                        m_axis_output_tlast        <= '0';
                        m_axis_output_tlast        <= '0';
                        m_axis_output_last_tuser   <= '0';
                        m_axis_output_last_tuser   <= '0';
                        s_axis_input_last_tuser_d1 <= '0';
 
                        current_node               <= (others => '0');
                        current_node               <= (others => '0');
                else
                else
                        m_axis_output_tlast   <= s_axis_input_tlast;
 
                        s_axis_input_last_tuser_d1 <= s_axis_input_last_tuser;
                        if m_axis_output_tready = '1' then
                        m_axis_output_last_tuser <= s_axis_input_last_tuser;
                                m_axis_output_tvalid_int <= '0';
 
                        end if;
 
 
                        -- calculate the decoded bit with an shift register
                        -- calculate the decoded bit with an shift register
                        if s_axis_input_last_tuser_d1 = '0' then
 
                                if s_axis_input_tvalid = '1' and s_axis_input_tready_int = '1' then
                                if s_axis_input_tvalid = '1' and s_axis_input_tready_int = '1' then
                                        current_node <= current_node(BW_TRELLIS_STATES - 2 downto 0)
 
                                                        & s_axis_input_tdata(to_integer(current_node(BW_TRELLIS_STATES - 1 downto 0)));
                                m_axis_output_tlast      <= s_axis_input_tlast;
                                        m_axis_output_tdata <= current_node(BW_TRELLIS_STATES - 1);
                                m_axis_output_last_tuser <= s_axis_input_last_tuser;
                                end if;
 
                        else
 
                                current_node <= to_unsigned(0, BW_TRELLIS_STATES);
 
                        end if;
 
                        -- handle tvalid output signal
                        -- handle tvalid output signal
                        if s_axis_input_window_tuser = '1' then
                        if s_axis_input_window_tuser = '1' then
                                m_axis_output_tvalid_int <= '1';
                                m_axis_output_tvalid_int <= '1';
 
                                        m_axis_output_tdata <= current_node(BW_TRELLIS_STATES - 1);
 
                                end if;
 
 
 
                                -- last value of current window?
 
                                if s_axis_input_last_tuser = '1' then
 
                                        current_node <= to_unsigned(0, BW_TRELLIS_STATES);
                        else
                        else
                                m_axis_output_tvalid_int <= '0';
                                        current_node <= current_node(BW_TRELLIS_STATES - 2 downto 0)
 
                                                        & s_axis_input_tdata(to_integer(current_node(BW_TRELLIS_STATES - 1 downto 0)));
 
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end if;
        end if;
        end process pr_traceback;
        end process pr_traceback;
end architecture rtl;
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.