OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [WrimmPackage.vhd] - Diff between revs 9 and 10

Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 4... Line 4...
--See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
--See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
--See wrimm subversion project for version history
--See wrimm subversion project for version history
 
 
------------------------------------------------------------
------------------------------------------------------------
--**********************************************************
--**********************************************************
--**********************************************************
 
--!!!!!!!!!!!!!!!!!!    EDIT THIS FILE     !!!!!!!!!!!!!!!!!
--!!!!!!!!!!!!!!!!!!    EDIT THIS FILE     !!!!!!!!!!!!!!!!!
--Save a copy of this file in a project specific directory.
--Save a copy of this file in a project specific directory.
--Each project may have a different WrimmPackage.vhd file.
--Each project may have a different WrimmPackage.vhd file.
--Hopefully wrimm.vhd will not require modification for each
--Hopefully wrimm.vhd will not require modification for each
--project.
--project.
Line 17... Line 16...
--  surrounded by the indicator lines shown above and below this text.
--  surrounded by the indicator lines shown above and below this text.
--<<<<<<<<<<<<<<<<<<<<<<<End of Customization Ecample<<<<<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Customization Ecample<<<<<<<<<<<<<<<<<<<<<<<<<<<
--  Hopefully the code ouside those marked sections
--  Hopefully the code ouside those marked sections
--  will not require modification.
--  will not require modification.
--**********************************************************
--**********************************************************
--**********************************************************
 
------------------------------------------------------------
------------------------------------------------------------
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
 
 
package WrimmPackage is
package WrimmPackage is
-->>>>>>>>>>>>>>>>>>>>>>>Start of Wishbone Bus Parameters >>>>>>>>>>>>>>>>>>>>>>
-->>>>>>>>>>>>>>>>>>>>>>>Start of Wishbone Bus Parameters >>>>>>>>>>>>>>>>>>>>>>
  constant WbAddrBits   : Integer := 4;
  constant WbAddrBits : integer := 4;
  constant WbDataBits   : Integer := 8;
  constant WbDataBits : integer := 8;
--<<<<<<<<<<<<<<<<<<<<<<<End of Wishbone Bus Parameters <<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Wishbone Bus Parameters <<<<<<<<<<<<<<<<<<<<<<<<
 
 
  subtype     WbAddrType  is std_logic_vector(0 to WbAddrBits-1);
  subtype     WbAddrType  is std_logic_vector(0 to WbAddrBits-1);
  subtype     WbDataType  is std_logic_vector(0 to WbDataBits-1);
  subtype     WbDataType  is std_logic_vector(0 to WbDataBits-1);
 
 
Line 62... Line 60...
--<<<<<<<<<<<<<<<<<<<<<<<End of Wishbone Master List <<<<<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Wishbone Master List <<<<<<<<<<<<<<<<<<<<<<<<<<<
 
 
  type WbMasterOutArray is array (WbMasterType) of WbMasterOutType;
  type WbMasterOutArray is array (WbMasterType) of WbMasterOutType;
  type WbSlaveOutArray  is array (WbMasterType) of WbSlaveOutType;
  type WbSlaveOutArray  is array (WbMasterType) of WbSlaveOutType;
 
 
  type WbMasterGrantType is Array (WbMasterType'left to WbMasterType'right) of std_logic;
  type WbMasterGrantType is array (WbMasterType'left to WbMasterType'right) of std_logic;
--==========================================================
--==========================================================
------------------------------------------------------------
------------------------------------------------------------
--  Status Registers: Report results from other modules
--  Status Registers: Report results from other modules
------------------------------------------------------------
------------------------------------------------------------
  type StatusFieldParams is record
  type StatusFieldParams is record
Line 80... Line 78...
    StatusA,
    StatusA,
    StatusB,
    StatusB,
    StatusC);
    StatusC);
--<<<<<<<<<<<<<<<<<<<<<<<End of Status Field List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Status Field List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
 
 
  type StatusArrayType      is Array (StatusFieldType'left to StatusFieldType'right)  of WbDataType;
  type StatusArrayType is array (StatusFieldType'left to StatusFieldType'right) of WbDataType;
  type StatusArrayBitType   is Array (StatusFieldType'left to StatusFieldType'right)  of std_logic;
  type StatusArrayBitType is array (StatusFieldType'left to StatusFieldType'right) of std_logic;
  type StatusFieldDefType   is Array (StatusFieldType'left to StatusFieldType'right)  of StatusFieldParams;
  type StatusFieldDefType is array (StatusFieldType'left to StatusFieldType'right) of StatusFieldParams;
 
 
  constant StatusParams : StatusFieldDefType  :=(
  constant StatusParams : StatusFieldDefType  :=(
-->>>>>>>>>>>>>>>>>>>>>>>Start of Status Field Parameters >>>>>>>>>>>>>>>>>>>>>>
-->>>>>>>>>>>>>>>>>>>>>>>Start of Status Field Parameters >>>>>>>>>>>>>>>>>>>>>>
    StatusA           => (BitWidth =>  8, MSBLoc =>  0, Address => x"0"),
    StatusA           => (BitWidth =>  8, MSBLoc =>  0, Address => x"0"),
    StatusB           => (BitWidth =>  8, MSBLoc =>  0, Address => x"1"),
    StatusB           => (BitWidth =>  8, MSBLoc =>  0, Address => x"1"),
Line 108... Line 106...
    SettingX,
    SettingX,
    SettingY,
    SettingY,
    SettingZ);
    SettingZ);
--<<<<<<<<<<<<<<<<<<<<<<<End of Setting Field List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Setting Field List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<
 
 
  type SettingArrayType     is Array (SettingFieldType'Left to SettingFieldType'Right)  of WbDataType;
  type SettingArrayType is array (SettingFieldType'left to SettingFieldType'right) of WbDataType;
  type SettingArrayBitType  is Array (SettingFieldType'Left to SettingFieldType'Right)  of std_logic;
  type SettingArrayBitType is array (SettingFieldType'left to SettingFieldType'right) of std_logic;
  type SettingFieldDefType  is Array (SettingFieldType'Left to SettingFieldType'Right)  of SettingFieldParams;
  type SettingFieldDefType is array (SettingFieldType'left to SettingFieldType'right) of SettingFieldParams;
 
 
  constant SettingParams : SettingFieldDefType  :=(
  constant SettingParams : SettingFieldDefType  :=(
-->>>>>>>>>>>>>>>>>>>>>>>Start of Setting Field Parameters >>>>>>>>>>>>>>>>>>>>>
-->>>>>>>>>>>>>>>>>>>>>>>Start of Setting Field Parameters >>>>>>>>>>>>>>>>>>>>>
    SettingX    => (BitWidth => 8, MSBLoc =>  0, Address => x"6", Default => x"05"),
    SettingX    => (BitWidth => 8, MSBLoc =>  0, Address => x"6", Default => x"05"),
    SettingY    => (BitWidth => 8, MSBLoc =>  0, Address => x"7", Default => x"3C"),
    SettingY    => (BitWidth => 8, MSBLoc =>  0, Address => x"7", Default => x"3C"),
Line 134... Line 132...
    TriggerR,
    TriggerR,
    TriggerS,
    TriggerS,
    TriggerT);
    TriggerT);
--<<<<<<<<<<<<<<<<<<<<<<<End of Trigger List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
--<<<<<<<<<<<<<<<<<<<<<<<End of Trigger List <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
 
 
  type TriggerArrayType     is Array (TriggerFieldType'Left to TriggerFieldType'Right)  of std_logic;
  type TriggerArrayType is array (TriggerFieldType'left to TriggerFieldType'right) of std_logic;
  type TriggerFieldDefType  is Array (TriggerFieldType'Left to TriggerFieldType'Right)  of TriggerFieldParams;
  type TriggerFieldDefType is array (TriggerFieldType'left to TriggerFieldType'right) of TriggerFieldParams;
 
 
  constant TriggerParams : TriggerFieldDefType :=(
  constant TriggerParams : TriggerFieldDefType :=(
-->>>>>>>>>>>>>>>>>>>>>>>Start of Trigger Parameters >>>>>>>>>>>>>>>>>>>>>>>>>>>
-->>>>>>>>>>>>>>>>>>>>>>>Start of Trigger Parameters >>>>>>>>>>>>>>>>>>>>>>>>>>>
    TriggerR      => (BitLoc => 7, Address => x"A"),
    TriggerR      => (BitLoc => 7, Address => x"A"),
    TriggerS      => (BitLoc => 7, Address => x"B"),
    TriggerS      => (BitLoc => 7, Address => x"B"),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.