OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

[/] [xge_mac/] [trunk/] [rtl/] [verilog/] [xge_mac.v] - Diff between revs 20 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 23
Line 113... Line 113...
wire                    rxhfifo_ren;            // From rx_eq0 of rx_enqueue.v
wire                    rxhfifo_ren;            // From rx_eq0 of rx_enqueue.v
wire [7:0]              rxhfifo_rstatus;        // From rx_hold_fifo0 of rx_hold_fifo.v
wire [7:0]              rxhfifo_rstatus;        // From rx_hold_fifo0 of rx_hold_fifo.v
wire [63:0]             rxhfifo_wdata;          // From rx_eq0 of rx_enqueue.v
wire [63:0]             rxhfifo_wdata;          // From rx_eq0 of rx_enqueue.v
wire                    rxhfifo_wen;            // From rx_eq0 of rx_enqueue.v
wire                    rxhfifo_wen;            // From rx_eq0 of rx_enqueue.v
wire [7:0]              rxhfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
wire [7:0]              rxhfifo_wstatus;        // From rx_eq0 of rx_enqueue.v
 
wire [31:0]             stats_rx_pkts;          // From stats0 of stats.v
 
wire [31:0]             stats_tx_pkts;          // From stats0 of stats.v
wire                    status_crc_error;       // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_crc_error;       // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_crc_error_tog;   // From rx_eq0 of rx_enqueue.v
wire                    status_crc_error_tog;   // From rx_eq0 of rx_enqueue.v
wire                    status_fragment_error;  // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_fragment_error;  // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_fragment_error_tog;// From rx_eq0 of rx_enqueue.v
wire                    status_fragment_error_tog;// From rx_eq0 of rx_enqueue.v
 
wire [13:0]             status_good_frame_rx_size;// From rx_eq0 of rx_enqueue.v
 
wire                    status_good_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
 
wire [13:0]             status_good_frame_tx_size;// From tx_dq0 of tx_dequeue.v
 
wire                    status_good_frame_tx_tog;// From tx_dq0 of tx_dequeue.v
wire                    status_local_fault;     // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_local_fault;     // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_local_fault_crx; // From fault_sm0 of fault_sm.v
wire                    status_local_fault_crx; // From fault_sm0 of fault_sm.v
wire                    status_local_fault_ctx; // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
wire                    status_local_fault_ctx; // From sync_clk_xgmii_tx0 of sync_clk_xgmii_tx.v
wire                    status_pause_frame_rx;  // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_pause_frame_rx;  // From sync_clk_wb0 of sync_clk_wb.v
wire                    status_pause_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
wire                    status_pause_frame_rx_tog;// From rx_eq0 of rx_enqueue.v
Line 170... Line 176...
                  .remote_fault_msg_det (remote_fault_msg_det[1:0]),
                  .remote_fault_msg_det (remote_fault_msg_det[1:0]),
                  .status_crc_error_tog (status_crc_error_tog),
                  .status_crc_error_tog (status_crc_error_tog),
                  .status_fragment_error_tog(status_fragment_error_tog),
                  .status_fragment_error_tog(status_fragment_error_tog),
                  .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
                  .status_rxdfifo_ovflow_tog(status_rxdfifo_ovflow_tog),
                  .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
                  .status_pause_frame_rx_tog(status_pause_frame_rx_tog),
 
                  .status_good_frame_rx_tog(status_good_frame_rx_tog),
 
                  .status_good_frame_rx_size(status_good_frame_rx_size[13:0]),
                  // Inputs
                  // Inputs
                  .clk_xgmii_rx         (clk_xgmii_rx),
                  .clk_xgmii_rx         (clk_xgmii_rx),
                  .reset_xgmii_rx_n     (reset_xgmii_rx_n),
                  .reset_xgmii_rx_n     (reset_xgmii_rx_n),
                  .xgmii_rxd            (xgmii_rxd[63:0]),
                  .xgmii_rxd            (xgmii_rxd[63:0]),
                  .xgmii_rxc            (xgmii_rxc[7:0]),
                  .xgmii_rxc            (xgmii_rxc[7:0]),
Line 260... Line 268...
                  .txhfifo_wstatus      (txhfifo_wstatus[7:0]),
                  .txhfifo_wstatus      (txhfifo_wstatus[7:0]),
                  .txhfifo_wen          (txhfifo_wen),
                  .txhfifo_wen          (txhfifo_wen),
                  .xgmii_txd            (xgmii_txd[63:0]),
                  .xgmii_txd            (xgmii_txd[63:0]),
                  .xgmii_txc            (xgmii_txc[7:0]),
                  .xgmii_txc            (xgmii_txc[7:0]),
                  .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
                  .status_txdfifo_udflow_tog(status_txdfifo_udflow_tog),
 
                  .status_good_frame_tx_tog(status_good_frame_tx_tog),
 
                  .status_good_frame_tx_size(status_good_frame_tx_size[13:0]),
                  // Inputs
                  // Inputs
                  .clk_xgmii_tx         (clk_xgmii_tx),
                  .clk_xgmii_tx         (clk_xgmii_tx),
                  .reset_xgmii_tx_n     (reset_xgmii_tx_n),
                  .reset_xgmii_tx_n     (reset_xgmii_tx_n),
                  .ctrl_tx_enable_ctx   (ctrl_tx_enable_ctx),
                  .ctrl_tx_enable_ctx   (ctrl_tx_enable_ctx),
                  .status_local_fault_ctx(status_local_fault_ctx),
                  .status_local_fault_ctx(status_local_fault_ctx),
Line 357... Line 367...
                                     .reset_xgmii_tx_n  (reset_xgmii_tx_n),
                                     .reset_xgmii_tx_n  (reset_xgmii_tx_n),
                                     .ctrl_tx_enable    (ctrl_tx_enable),
                                     .ctrl_tx_enable    (ctrl_tx_enable),
                                     .status_local_fault_crx(status_local_fault_crx),
                                     .status_local_fault_crx(status_local_fault_crx),
                                     .status_remote_fault_crx(status_remote_fault_crx));
                                     .status_remote_fault_crx(status_remote_fault_crx));
 
 
 
stats stats0(/*AUTOINST*/
 
             // Outputs
 
             .stats_tx_pkts             (stats_tx_pkts[31:0]),
 
             .stats_rx_pkts             (stats_rx_pkts[31:0]),
 
             // Inputs
 
             .wb_clk_i                  (wb_clk_i),
 
             .wb_rst_i                  (wb_rst_i),
 
             .status_good_frame_tx_tog  (status_good_frame_tx_tog),
 
             .status_good_frame_tx_size (status_good_frame_tx_size[13:0]),
 
             .status_good_frame_rx_tog  (status_good_frame_rx_tog),
 
             .status_good_frame_rx_size (status_good_frame_rx_size[13:0]));
 
 
//sync_clk_core sync_clk_core0(/*AUTOINST*/
//sync_clk_core sync_clk_core0(/*AUTOINST*/
//                             // Inputs
//                             // Inputs
//                             .clk_xgmii_tx      (clk_xgmii_tx),
//                             .clk_xgmii_tx      (clk_xgmii_tx),
//                             .reset_xgmii_tx_n  (reset_xgmii_tx_n));
//                             .reset_xgmii_tx_n  (reset_xgmii_tx_n));
 
 
Line 384... Line 406...
                         .status_txdfifo_udflow (status_txdfifo_udflow),
                         .status_txdfifo_udflow (status_txdfifo_udflow),
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
                         .status_rxdfifo_ovflow (status_rxdfifo_ovflow),
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
                         .status_rxdfifo_udflow (status_rxdfifo_udflow),
                         .status_pause_frame_rx (status_pause_frame_rx),
                         .status_pause_frame_rx (status_pause_frame_rx),
                         .status_local_fault    (status_local_fault),
                         .status_local_fault    (status_local_fault),
                         .status_remote_fault   (status_remote_fault));
                         .status_remote_fault   (status_remote_fault),
 
                         .stats_tx_pkts         (stats_tx_pkts[31:0]),
 
                         .stats_rx_pkts         (stats_rx_pkts[31:0]));
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.