OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [target/] [ghdl/] [S2.make] - Diff between revs 34 and 41

Show entire file | Details | Blame | View Log

Rev 34 Rev 41
?rev1line?
?rev2line?
 
# Copyright 2015, Jürgen Defurne
 
#
 
# This file is part of the Experimental Unstable CPU System.
 
#
 
# The Experimental Unstable CPU System Is free software: you can redistribute
 
# it and/or modify it under the terms of the GNU Lesser General Public License
 
# as published by the Free Software Foundation, either version 3 of the
 
# License, or (at your option) any later version.
 
#
 
# The Experimental Unstable CPU System is distributed in the hope that it will
 
# be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
 
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
 
# General Public License for more details.
 
#
 
# You should have received a copy of the GNU Lesser General Public License
 
# along with Experimental Unstable CPU System. If not, see
 
# http://www.gnu.org/licenses/lgpl.txt.
 
 
 
FIND=gfind
 
XARGS=gxargs
 
UNISIM=/usr/local/share
 
SOURCE= ../src/system/S2LIB.vhdl \
 
        ../src/system/S2.vhdl \
 
        ../src/system/S2ICC.vhdl \
 
        ../src/system/S2DCC.vhdl \
 
        ../src/system/S2CPU.vhdl \
 
        ../src/system/S2MEM.vhdl \
 
        ../src/system/S2BOARD_SIM.vhdl
 
 
 
analyse:
 
        ghdl -a -P./. -P./tmp --ieee=synopsys --workdir=tmp $(SOURCE)
 
 
 
build: unisim-obj93.cf analyse
 
        ghdl -e -g -P./. -P./tmp --warn-unused --ieee=synopsys --workdir=tmp S2BOARD_SIM
 
 
 
run: build
 
        ghdl -r -P. -P./tmp --ieee=synopsys --workdir=tmp S2BOARD_SIM --wave=S2_SCOPE.ghw --stop-time=300ns
 
 
 
clean:
 
        -rm *.o
 
        -rm unisim*
 
 
 
unisim: unisim-obj93.cf
 
        ghdl -a --ieee=synopsys --work=unisim --workdir=tmp $(UNISIM)/unisims/*.vhd
 
        $(FIND) $(UNISIM)/unisims/primitive/*.vhd -print0 | $(XARGS) -0 -n 1 -t ghdl -a --ieee=synopsys --work=unisim --workdir=tmp -fexplicit
 
 
 
unisim-obj93.cf:
 
 
 
# vim:set noet tw=0 ts=8 nowrap:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.