OpenCores
URL https://opencores.org/ocsvn/y80e/y80e/trunk

Subversion Repositories y80e

[/] [y80e/] [trunk/] [rtl/] [top_levl.v] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 1... Line 1...
/*******************************************************************************************/
/*******************************************************************************************/
/**                                                                                       **/
/**                                                                                       **/
/** COPYRIGHT (C) 2011, SYSTEMYDE INTERNATIONAL CORPORATION, ALL RIGHTS RESERVED          **/
/** ORIGINAL COPYRIGHT (C) 2011, SYSTEMYDE INTERNATIONAL CORPORATION, ALL RIGHTS RESERVED **/
 
/** COPYRIGHT (C) 2012, SERGEY BELYASHOV                                                  **/
/**                                                                                       **/
/**                                                                                       **/
/** Y80 processor test bench                                          Rev 0.0  08/20/2011 **/
/** Y80 processor test bench                                          Rev 0.0  06/13/2012 **/
/**                                                                                       **/
/**                                                                                       **/
/*******************************************************************************************/
/*******************************************************************************************/
`timescale 1ns / 10ps                                      /* set time scale               */
`timescale 1ns / 10ps                                      /* set time scale               */
`include "version.v"                                       /* select version               */
`include "version.v"                                       /* select version               */
`include "hierarchy.v"                                     /* include sources              */
`include "hierarchy.v"                                     /* include sources              */
Line 391... Line 392...
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_opsd.vm", wrmem);
    $readmemh("io_opsd.vm", wrmem);
    wait (PAT_DONE);
    wait (PAT_DONE);
 
 
 
    resettask;
 
    CMP_ERR_L = 16'h0000;
 
    PAT_CNT   = 4'h7;
 
    $readmemh("blank_xx.vm", rdmem);
 
    $readmemh("blank_xx.vm", wrmem);
 
    $readmemh("180_ops.vm", rdmem);
 
    $readmemh("180_opsd.vm", wrmem);
 
    wait (PAT_DONE);
 
 
    DISABLE_INT  = 0;                                      /* interrupt generator on       */
    DISABLE_INT  = 0;                                      /* interrupt generator on       */
    DISABLE_WAIT = 0;                                      /* wait generator on            */
    DISABLE_WAIT = 0;                                      /* wait generator on            */
 
 
    resettask;
    resettask;
    CMP_ERR_L = 16'h0000;
    CMP_ERR_L = 16'h0000;
Line 450... Line 460...
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_opsd.vm", wrmem);
    $readmemh("io_opsd.vm", wrmem);
    wait (PAT_DONE);
    wait (PAT_DONE);
 
 
 
    resettask;
 
    CMP_ERR_L = 16'h0000;
 
    PAT_CNT   = 4'h7;
 
    $readmemh("blank_xx.vm", rdmem);
 
    $readmemh("blank_xx.vm", wrmem);
 
    $readmemh("180_ops.vm", rdmem);
 
    $readmemh("180_opsd.vm", wrmem);
 
    wait (PAT_DONE);
 
 
    DISABLE_INT  = 0;                                      /* interrupt generator on       */
    DISABLE_INT  = 0;                                      /* interrupt generator on       */
    DISABLE_BREQ = 0;                                      /* bus req generator on         */
    DISABLE_BREQ = 0;                                      /* bus req generator on         */
    DISABLE_WAIT = 1;                                      /* wait generator off           */
    DISABLE_WAIT = 1;                                      /* wait generator off           */
 
 
    resettask;
    resettask;
Line 510... Line 529...
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("blank_xx.vm", wrmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_ops.vm", rdmem);
    $readmemh("io_opsd.vm", wrmem);
    $readmemh("io_opsd.vm", wrmem);
    wait (PAT_DONE);
    wait (PAT_DONE);
 
 
 
    resettask;
 
    CMP_ERR_L = 16'h0000;
 
    PAT_CNT   = 4'h7;
 
    $readmemh("blank_xx.vm", rdmem);
 
    $readmemh("blank_xx.vm", wrmem);
 
    $readmemh("180_ops.vm", rdmem);
 
    $readmemh("180_opsd.vm", wrmem);
 
    wait (PAT_DONE);
 
 
    $stop;
    $stop;
    end
    end
 
 
  endmodule
  endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.