OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [pronoc_def.v] - Rev 54

Go to most recent revision | Compare with Previous | Blame | View Log

`ifndef PRONOC_DEF
`define PRONOC_DEF
 
    `timescale      1ns/1ps
 
	//`define SYNC_RESET_MODE    	/* Reset is asynchronous by default. Uncomment this line for having synchronous reset*/
	//`define ACTIVE_LOW_RESET_MODE /* Reset is active high by deafult. Uncomment this line for having active low reset*/
 
 
 
	`ifdef SYNC_RESET_MODE 
			`define pronoc_clk_reset_edge  posedge clk
	`else 
		`ifdef ACTIVE_LOW_RESET_MODE 
	   	 	`define pronoc_clk_reset_edge  posedge clk or negedge reset
		`else 
			`define pronoc_clk_reset_edge  posedge clk or posedge reset		
		`endif  
	`endif   
 
 
 
 
	`ifdef ACTIVE_LOW_RESET_MODE 
	   	 	`define pronoc_reset !reset
		`else 
			`define pronoc_reset  reset
	`endif  
 
 
 
    `ifdef USE_LIB
         `uselib lib=`USE_LIB    
    `endif
 
 
 
`endif
 
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.