OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_peripheral/] [jtag/] [jtag_simulation/] [jtag_wb_test/] [verilog/] [testbench.v] - Rev 48

Compare with Previous | Blame | View Log

`timescale 1 ps / 1 ps
 
module testbench;
 
 
    reg clk;
    reg reset;
    wire [3: 0]led;
    reg  [3: 0]btn;
 
 
   initial begin 
       clk = 1'b0;
       forever clk = #10 ~clk;
   end 
 
  initial begin
	reset=1'b1;
	#10
	@(posedge clk) #1 reset=1'b0;
 
   end
 
 
	 xilinx_jtag_test uut (
    		.clk(clk),
    		.led(led),
   		.btn(btn),
		.reset(reset)
	);
 
 
 
 
 
endmodule
 
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.