OpenCores
URL https://opencores.org/ocsvn/aes_decry_ip_128bit/aes_decry_ip_128bit/trunk

Subversion Repositories aes_decry_ip_128bit

[/] [aes_decry_ip_128bit/] [trunk/] [testbench/] [simulate.do] - Rev 6

Compare with Previous | Blame | View Log

vlib work
vcom ../rtl/key_schd/*.vhd
vcom ../rtl/*.vhd
vcom ./*.vhd
vsim -novopt tb_AES_decrypt

add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/clk
add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/reset

add wave -noupdate -divider input
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/cipher
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/key
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/k_valid
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/c_valid

add wave -noupdate -divider output
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/text_out
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/ready
add wave -noupdate -format Logic -radix hex /tb_AES_decrypt/out_valid

run -all

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.