OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [jtag/] [test_rtl/] [jtag_ram_test/] [src_verilog/] [lib/] [clk_source.v] - Rev 38

Compare with Previous | Blame | View Log

`timescale 1ns / 1ps
 
module clk_source (
	input   reset_in,
	input   clk_in,
	output  reset_out,
	output	clk_out
 
);
 
 
	altera_reset_synchronizer sync(
 
    		.reset_in	(reset_in), 
		.clk		(clk_in),
    		.reset_out	(reset_out)
	);
 
	assign clk_out=clk_in;
 
 
endmodule
 
 
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.