OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_c/] [synfull/] [synful.h] - Rev 54

Compare with Previous | Blame | View Log

#ifndef _SYNFUL_H
	#define  _SYNFUL_H
 
	#define SYNFUL_ENDP_NUM 32
 
 
typedef struct pronoc_pck pronoc_pck_t;
 
struct pronoc_pck {
	int source;
	int dest;
	int id;
	int packetSize;
	int msgType;
	unsigned long long cycle;
};
 
 
 
 
extern queue_t** synful_inject;
 
extern unsigned long long synful_cycle;
extern int synful_injection_done;
 
 
 
void synful_eval ();
void synful_model_init(char *, bool , int,unsigned int,unsigned int, int *);
void synful_run_one_cycle ();
 
void synful_print_packet( pronoc_pck_t*) ;
void synful_Eject (pronoc_pck_t*);
 
 
#endif
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.