OpenCores
URL https://opencores.org/ocsvn/ao68000/ao68000/trunk

Subversion Repositories ao68000

[/] [ao68000/] [trunk/] [tests/] [soc_for_linux_on_terasic_de2_70/] [quartus_project/] [soc_for_linux.qsf] - Rev 12

Compare with Previous | Blame | View Log

# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2009 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement, Altera MegaCore Function License 
# Agreement, or other applicable license agreement, including, 
# without limitation, that your use is for the sole purpose of 
# programming logic devices manufactured by Altera and sold by 
# Altera or its authorized distributors.  Please refer to the 
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II
# Version 9.1 Build 222 10/21/2009 SJ Web Edition
# Date created = 21:50:15  March 02, 2010
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
#               soc_for_linux_assignment_defaults.qdf
#    If this file doesn't exist, see file:
#               assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
#    file is updated automatically by the Quartus II software
#    and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #


set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C70F896C6
set_global_assignment -name TOP_LEVEL_ENTITY soc_for_linux
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:50:15  MARCH 02, 2010"
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 896
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_location_assignment PIN_R3 -to clk_i
set_location_assignment PIN_AA23 -to rst_i
set_location_assignment PIN_T26 -to sd_clk_o
set_location_assignment PIN_W29 -to sd_dat_io
set_location_assignment PIN_W28 -to sd_cmd_io
set_location_assignment PIN_AJ6 -to sd_debug[0]
set_location_assignment PIN_AK5 -to sd_debug[1]
set_location_assignment PIN_AJ5 -to sd_debug[2]
set_location_assignment PIN_AJ4 -to sd_debug[3]
set_location_assignment PIN_AK3 -to sd_debug[4]
set_location_assignment PIN_AH4 -to sd_debug[5]
set_location_assignment PIN_D21 -to uart_rxd
set_location_assignment PIN_E21 -to uart_txd
set_location_assignment PIN_G22 -to uart_cts
set_location_assignment PIN_F23 -to uart_rts
set_location_assignment PIN_AG8 -to ssram_address[0]
set_location_assignment PIN_AF8 -to ssram_address[1]
set_location_assignment PIN_AF14 -to ssram_address[10]
set_location_assignment PIN_AG14 -to ssram_address[11]
set_location_assignment PIN_AE15 -to ssram_address[12]
set_location_assignment PIN_AF15 -to ssram_address[13]
set_location_assignment PIN_AC16 -to ssram_address[14]
set_location_assignment PIN_AF20 -to ssram_address[15]
set_location_assignment PIN_AG20 -to ssram_address[16]
set_location_assignment PIN_AE11 -to ssram_address[17]
set_location_assignment PIN_AF11 -to ssram_address[18]
#set_location_assignment PIN_AG10 -to ssram_address[19]
set_location_assignment PIN_AH7 -to ssram_address[2]
#set_location_assignment PIN_AG9 -to ssram_address[20]
set_location_assignment PIN_AG7 -to ssram_address[3]
set_location_assignment PIN_AG6 -to ssram_address[4]
set_location_assignment PIN_AG5 -to ssram_address[5]
set_location_assignment PIN_AE12 -to ssram_address[6]
set_location_assignment PIN_AG12 -to ssram_address[7]
set_location_assignment PIN_AD13 -to ssram_address[8]
set_location_assignment PIN_AE13 -to ssram_address[9]
set_location_assignment PIN_AG17 -to ssram_adsc_n
set_location_assignment PIN_AC18 -to ssram_adsp_n
set_location_assignment PIN_AD16 -to ssram_advance_n
set_location_assignment PIN_AC21 -to ssram_byteen0_n
set_location_assignment PIN_AC20 -to ssram_byteen1_n
set_location_assignment PIN_AD20 -to ssram_byteen2_n
set_location_assignment PIN_AH20 -to ssram_byteen3_n
set_location_assignment PIN_AH19 -to ssram_ce1_n
set_location_assignment PIN_AG19 -to ssram_ce2
set_location_assignment PIN_AD22 -to ssram_ce3_n
set_location_assignment PIN_AD7 -to ssram_clk
#set_location_assignment PIN_AK9 -to SRAM_DPA[0]
#set_location_assignment PIN_AJ23 -to SRAM_DPA[1]
#set_location_assignment PIN_AK20 -to SRAM_DPA[2]
#set_location_assignment PIN_AJ9 -to SRAM_DPA[3]
set_location_assignment PIN_AH10 -to ssram_data[0]
set_location_assignment PIN_AJ10 -to ssram_data[1]
set_location_assignment PIN_AH17 -to ssram_data[10]
set_location_assignment PIN_AJ18 -to ssram_data[11]
set_location_assignment PIN_AH18 -to ssram_data[12]
set_location_assignment PIN_AK19 -to ssram_data[13]
set_location_assignment PIN_AJ19 -to ssram_data[14]
set_location_assignment PIN_AK23 -to ssram_data[15]
set_location_assignment PIN_AJ20 -to ssram_data[16]
set_location_assignment PIN_AK21 -to ssram_data[17]
set_location_assignment PIN_AJ21 -to ssram_data[18]
set_location_assignment PIN_AK22 -to ssram_data[19]
set_location_assignment PIN_AK10 -to ssram_data[2]
set_location_assignment PIN_AJ22 -to ssram_data[20]
set_location_assignment PIN_AH15 -to ssram_data[21]
set_location_assignment PIN_AJ15 -to ssram_data[22]
set_location_assignment PIN_AJ16 -to ssram_data[23]
set_location_assignment PIN_AK14 -to ssram_data[24]
set_location_assignment PIN_AJ14 -to ssram_data[25]
set_location_assignment PIN_AJ13 -to ssram_data[26]
set_location_assignment PIN_AH13 -to ssram_data[27]
set_location_assignment PIN_AK12 -to ssram_data[28]
set_location_assignment PIN_AK7 -to ssram_data[29]
set_location_assignment PIN_AJ11 -to ssram_data[3]
set_location_assignment PIN_AJ8 -to ssram_data[30]
set_location_assignment PIN_AK8 -to ssram_data[31]
set_location_assignment PIN_AK11 -to ssram_data[4]
set_location_assignment PIN_AH12 -to ssram_data[5]
set_location_assignment PIN_AJ12 -to ssram_data[6]
set_location_assignment PIN_AH16 -to ssram_data[7]
set_location_assignment PIN_AK17 -to ssram_data[8]
set_location_assignment PIN_AJ17 -to ssram_data[9]
set_location_assignment PIN_AG18 -to ssram_globalw_n
set_location_assignment PIN_AD18 -to ssram_oe_n
set_location_assignment PIN_AF18 -to ssram_writeen_n

set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_location_assignment PIN_AC13 -to pc_debug[0]
set_location_assignment PIN_AB13 -to pc_debug[1]
set_location_assignment PIN_AC12 -to pc_debug[2]
set_location_assignment PIN_AB12 -to pc_debug[3]
set_location_assignment PIN_AC11 -to pc_debug[4]
set_location_assignment PIN_AD9 -to pc_debug[5]
set_location_assignment PIN_AD8 -to pc_debug[6]
set_location_assignment PIN_AJ7 -to pc_debug[7]
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name SDC_FILE soc_for_linux.sdc
set_global_assignment -name VERILOG_FILE ao68000.v
set_global_assignment -name VERILOG_FILE ssram.v
set_global_assignment -name VERILOG_FILE early_boot.v
set_global_assignment -name VERILOG_FILE soc_for_linux.v
set_global_assignment -name VERILOG_FILE sd.v
set_global_assignment -name VERILOG_FILE serial_txd.v
set_global_assignment -name VERILOG_FILE timer.v
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.