OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_seqs.v] - Rev 15

Compare with Previous | Blame | View Log

 
class apb_seq_item extends uvm_sequence_item ;
	`uvm_object_utils(apb_seq_item)
 
 
endclass
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.