OpenCores
URL https://opencores.org/ocsvn/c0or1k/c0or1k/trunk

Subversion Repositories c0or1k

[/] [c0or1k/] [trunk/] [conts/] [test_suite0/] [include/] [timer.h] - Rev 2

Compare with Previous | Blame | View Log

#ifndef __PERF_TESTS_TIMER_H__
#define __PERF_TESTS_TIMER_H__
 
#include <libdev/timer.h>
 
extern unsigned long timer_base;
void perf_timer_init(void);
 
#endif /* __PERF_TESTS_TIMER_H__ */
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.