OpenCores
URL https://opencores.org/ocsvn/cheap_ethernet/cheap_ethernet/trunk

Subversion Repositories cheap_ethernet

[/] [cheap_ethernet/] [trunk/] [Ethernet_test/] [const.vh] - Rev 3

Compare with Previous | Blame | View Log

`ifndef _const_
`define _const_
 
// Packet Types
`define Unknown		0
`define UDP				1
`define ARPReq	 		2
`define ARPReply 		3
`define ICMPReq 		4
`define ICMPReply 	5
 
// Commands
`define CmdDone			0
`define CmdStatus			1
`define CmdLEDCtrl		2
`define CmdSetConfig		3
`define CmdSwChanged		4
`define CmdDataEcho		5
 
`endif
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.