OpenCores
URL https://opencores.org/ocsvn/cordic_atan_iq/cordic_atan_iq/trunk

Subversion Repositories cordic_atan_iq

[/] [cordic_atan_iq/] [cordic_atan_test.m] - Rev 8

Compare with Previous | Blame | View Log

clc
 
I = 20e6;
Q = 4e6;
 
[angle, isqrt] = cordic_atan_iq(20e6, 4e6);
a = atan(Q/I) * 180 / pi;
iq_sqrt = int32(sqrt(I^2 + Q^2));
delta = iq_sqrt - isqrt;
 
fprintf('\nAngle MatLab: %g, Cordic: %g; SQRT MatLab: %d, Cordic: %d, delta %d\n', a, angle, iq_sqrt, isqrt, delta);
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.